Как преобразовать Vec (n, Bool ()) в значение UInt - PullRequest
0 голосов
/ 30 июня 2018

В качестве заголовка я хочу преобразовать Vec (Bool ()) в значение UInt.

Например

class MyModule extends Module {

  val io = IO(new Bundle {
    val in_data    = Input (Vec (3, Bool() ) 
    val result     = Output(UInt(5.W))
  })

  //how can I convert io.in_data to Uint and the assign to io.result
  //I will get io.result = 7 when in_data(1, 1, 1) 
}

1 Ответ

0 голосов
/ 30 июня 2018

Вы можете использовать .asUInt для приведения Vec к Bools (или любым данным Chisel) к UInt.

Если вам нужно привести из UInt обратно в Vec или Bools, вы можете использовать .toBools

Пожалуйста, смотрите https://github.com/freechipsproject/chisel3/wiki/Cookbook

...