Аппаратно-ускоренное приложение Linux Arithmetic Logic Unit (ALU) на DE1-SoC с использованием процессора ARM (HPS) - PullRequest
0 голосов
/ 02 ноября 2018

Я создал файл Verilog для АЛУ, в котором выполняются следующие операции: сложение, вычитание, И и сброс. Затем я обернул ALU подчиненным интерфейсом памяти Avalon, чтобы процессор ARM мог обращаться к нему специально через облегченный мост H2F. Затем после выполнения mmap () пользователь может выбрать операцию и ввести значение для data1 и data2. Результат будет отображен на терминале. Смещения для каждого регистра в ALU - 4 бита. Это означает, что базовый адрес регистров в ALU имеет 4-битный промежуток.

Проблема, с которой я столкнулся, заключается в том, что я не могу записать значение в регистр ALU (код операции, данные1, данные2). Я сделал правильное отображение, используя функцию mmap (). Тем не менее, результат, который я получаю, всегда равен 0.

Verilog-код ALU показан ниже.

module alu_avalon(
input clk,
input[1:0] opcode,
input[31:0] dataA,
input[31:0] dataB,
output[31:0] alu_result
);


assign alu_result =     (opcode == 0) ? 0               :
                        (opcode == 1) ? dataA + dataB   :
                        (opcode == 2) ? dataA - dataB   :
                                        dataA & dataB;

endmodule

Затем ALU оборачивается подчиненным интерфейсом Avalon Memory Mapped, как показано в кодировке verilog ниже.

module alu_avalon_top (
input reset,
input clk,
input chipselect,
input [1:0]address,
input write,
input [31:0]writedata,
output [31:0]readdata
);

wire [31:0]lineA;
wire [31:0]lineB;
wire [1:0]opcode;
wire [31:0]result_alu;

alu_avalon inst3 (
                    .clk(clk),
                    .opcode(opcode),
                    .dataA(lineA),
                    .dataB(lineB),
                    .alu_result(result_alu)
                    );

alu_interface inst2(

                    .clk        (clk),
                    .reset      (reset),
                    .chipselect (chipselect),
                    .address    (address),
                    .writedata  (writedata),
                    .readdata   (readdata),
                    .alu_result (result_alu),
                    .data1      (lineA),
                    .data2      (lineB),
                    .opcode     (opcode),
                    .write      (write)
                    );


endmodule

module alu_interface (
input reset,
input clk,
input chipselect,
input [1:0]address,
input write,
input [31:0]writedata,
output reg [31:0]readdata,
output reg[1:0]opcode,
output reg[31:0]data1,
output reg[31:0]data2,
input[31:0] alu_result

);

always @ (posedge clk or negedge reset)
begin

    if (reset == 0)
    begin
        readdata <= 0;
        data1 <= 0;
        data2 <= 0;
    end
    else 
    begin
        if(chipselect == 1 && write == 1)
        begin
            case (address)
                2'b00:      opcode <= writedata[1:0];
                2'b01:      data1 <= writedata;
                2'b10:      data2 <= writedata;
                default:    readdata <= alu_result;
            endcase
        end
    end
end

endmodule

Я добавил пользовательский IP-адрес с помощью Qsys и подключил ведомое устройство avalon к ведущему мосту AXI облегченного моста H2F.

Qsys interconnect: Карта межсоединений Qsys

C-кодирование для приложения Linux

#define HW_REGS_BASE ( ALT_LWFPGASLVS_OFST )
#define HW_REGS_SPAN ( 0x00200000 )
#define HW_REGS_MASK ( HW_REGS_SPAN - 1 )

volatile unsigned long *aluMap = NULL;
void *virtual_base;
int main(void){

    int fd;
    printf("Open memory map\n");
    if( ( fd = open( "/dev/mem", ( O_RDWR | O_SYNC ) ) ) == -1 ) {
        printf( "ERROR: could not open \"/dev/mem\"...\n" );
        return( 1 );
    }

    virtual_base = mmap( NULL, HW_REGS_SPAN , ( PROT_READ | PROT_WRITE ), MAP_SHARED, fd, HW_REGS_BASE );

    if( virtual_base == MAP_FAILED ) {
        printf( "ERROR: mmap() failed...\n" );
        close( fd );
        return( 1 );
    }
    aluMap = (unsigned char *)(virtual_base + ALU8_0_BASE);
    printf("ALU addr: %x\n", aluMap);
    volatile unsigned int *opcode =(unsigned int*)(aluMap + 0x0);
    volatile unsigned int *data1 = (unsigned int*)(aluMap + 0x4);
    volatile unsigned int *data2 = (unsigned int*)(aluMap + 0x8);
    volatile unsigned int *result= (unsigned int*)(aluMap + 0xc);
    printf("op:%x\ndat1:%x\ndat2:%x\nresult:%x\n", opcode,data1,data2,result);
    int op;
    int dat1;
    int dat2;
    printf("operation code: ");
    scanf(" %d", &op);
    *opcode = op;
    printf("data1: ");
    scanf(" %d", &dat1);
    *data1 = dat1;
    printf("data2: ");
    scanf(" %d", &dat2);
    *data2 = dat2;
    int z = *result;
    printf("The result is %d\n", z);
    return 0;
}

Выход Выход ALU

Может кто-нибудь покажет мне, что я сделал неправильно в кодировке или соединении? Устраняли неполадки в течение месяца ... Различается ли отображение памяти для регистров IP с IP, который не имеет регистра ... Или мне нужно написать драйвер ядра ALU, чтобы Linux мог распознавать аппаратный ALU?

Любой совет приветствуется.

1 Ответ

0 голосов
/ 07 ноября 2018

Большое спасибо интернет-сообществу за помощь в решении моей проблемы.

Как заметил @Unn,

  • Сигнал записи следует использовать только для транзакции записи
  • Сигнал чтения следует использовать только для транзакции чтения
  • chipselect устарел в более новой QSYS

Я отредактировал кодировку verilog (отдельная транзакция чтения и записи и удаления микросхемы) и вуаля, ALU работает как чудо.

always @ (posedge clk or negedge reset)
begin

    if (reset == 0)
    begin
        readdata <= 0;
        data1 <= 0;
        data2 <= 0;
    end
    else 
    begin
        if(write == 1)
        begin
            case (address)
                2'b00:  opcode <= writedata[1:0];
                /* OPCODE
                 1: ADD
                 2: SUB
                 3: AND   */
                2'b01:  data1 <= writedata;
                2'b10:  data2 <= writedata;
                default:    ;
            endcase
        end
        else if (read == 1)
        begin
            case (address)
                2'b00:  readdata <= opcode;
                2'b01:  readdata <= data1;
                2'b10:  readdata <= data2;
                2'b11:  readdata <= alu_result;
                default: readdata <= 0;
            endcase
        end
    end
end

Необходимо сделать еще одно изменение - заменить #define HW_REGS_BASE ( ALT_LWFPGASLVS_OFST ) на #define HW_REGS_BASE ( ALT_STM_OFST ) в программе main.c

Ниже расположена выходная клемма ALU

ALU выход

Особая благодарность сообществу Rocketboard

...