Оператор процесса должен содержать оператор ожидания - пока присутствует период ожидания WAIT FOR - PullRequest
0 голосов
/ 03 мая 2018

Ошибка:

Error (10533): VHDL Wait Statement error at DE0.vhd(276): Wait Statement must 
contain condition clause with UNTIL keyword.

Error (10442): VHDL Process Statement error at DE0.vhd(271): Process Statement 
must contain either a sensitivity list or a Wait Statement

Я новичок в VHDL. работает над DE0 FPGA и Quartus II. Мой код содержит оператор ожидания, но по-прежнему выдает ошибку и ошибку при компиляции.

    q3:PROCESS 
    BEGIN

        IF button(2) = '0' AND pb_flag = '0' THEN
            pb_flag <= '1';
            WAIT FOR 20 ms;
        END IF;

        IF pb_flag = '1' AND button(2)='1' THEN
            count_1 <= count_1 + 1;
            pb_flag <= '0';
            WAIT FOR 10 ns;
        END IF;

        IF button(1)='0' THEN
            count_1 <= 0;
            WAIT FOR 10 ns;
        END IF;
        WAIT FOR 10 ns;
    END PROCESS q3;

Я пытаюсь сосчитать количество нажатий кнопки (2), используя кнопку (1) для сброса. Будет вывод на 7 сегментный дисплей позже. Есть идеи почему?

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...