Я только что начал использовать chisel3 и хочу поменять местами цифры. Это код для испытательного стенда:
class LengthTest(c: Length) extends PeekPokeTester(c) {
poke(c.io.x, 12)
expect(c.io.z, 21)
}
abstract class LengthTester extends ChiselFlatSpec {
behavior of "Length"
backends foreach { backend =>
it should s"demonstrate usage of functions that generate code in $backend" in {
Driver(() => new Length, backend)((c) => new LengthTest(c)) should be (true)
}
}
}
И это основной код
abstract class Length extends Module {
val io = IO(new Bundle {
val x = Input(UInt(16.W))
val z = Output(UInt(16.W))
})
def Reverse(a: UInt) : UInt
io.z := Reverse(io.x)
}
Это выдает ошибку, говорящую, что класс не может быть создан. Пожалуйста, помогите !!