Я хочу изменить входной сигнал в Chisel3. Например, если входное значение равно 12345678
, я хочу, чтобы выходное значение было 87654321
. Может кто-нибудь помочь мне с этим?
Код:
import chisel3._
import chisel3.util._
import chisel3.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester}
import chisel3.util.Reverse
class Length extends Module {
val in = Input(UInt(64.W))
val out = Output(UInt(8.W))
out := Reverse(in.x)
}