преобразовать целое число в фиксированную точку в операции деления - PullRequest
0 голосов
/ 17 января 2019

У меня есть этот код в моем проекте.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library ieee_proposed;
use ieee_proposed.fixed_pkg.all;

entity sfixed_test is
 port(x1 : in integer :=20;
        x2 : in integer := 23;
        N : out sfixed( 8 downto -8));

end sfixed_test;

architecture Behavioral of sfixed_test is
    signal Ni,Nii,No,Noo,nb :integer;

signal Niii : sfixed(4 downto -20);
    signal Nn : sfixed(4 downto -20);
signal N1max : integer := 34;
    signal N1min : integer := 14;
    signal N2max : integer := 56;
    signal n2min : integer := 0;

begin


    Ni <= x1-N1min;
    Nii <= N1max-N1min;
    Niii <= to_sfixed(Ni/Nii,Niii);


    No <= x2-N2min;
    Noo <= N2max-N2min;
    Nn <= to_sfixed(No/Noo,Nn);

end Behavioral;

Я хочу преобразовать значение результата из моей операции деления, но значение Niii и Nn равно нулю. Я попытался запустить свой код в симуляторе.И результат моего проекта не верен.Пожалуйста, помогите мне.

Спасибо

...