xilinx ISE: <vga>не объявлено - PullRequest
       44

xilinx ISE: <vga>не объявлено

0 голосов
/ 01 декабря 2018

Я пишу код для контроллера VGA и в верхнем модуле Xilinx ISE выдает эту ошибку:

не объявлено

пока я сопоставил порты с верхним модулем

код

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity vga_controller is
port(mclk : in std_logic;
button: in std_logic;
hs: out std_logic;
vs: out std_logic;
red: out std_logic_vector (3 downto 0);
green: out std_logic_vector (3 downto 0);
blue: out std_logic_vector (3 downto 0)
);
end vga_controller;

architecture vga_controller of vga_controller is
signal clk25,clr,video :std_logic;
signal hc,vc:std_logic_vector (9 downto 0);
begin
clr<=button;
M2: vga
port map (clk25,button,hs,vs,hc,vc,video);
M3: vga_plotter
port map(hc,vc,video,red,green,blue);
end vga_controller;

1 Ответ

0 голосов
/ 01 декабря 2018

У вас нет объявления компонента для компонента VGA.

...