Я использовал inout с c, но для c, чтобы быть в LHS процедурного присвоения, это должна быть переменная типа reg.Может кто-нибудь помочь мне с этим кодом?
module multiedgeclk(input clk ,[7:0] a,b,d, inout [7:0] c, output reg [7:0]f);
always @(posedge clk)
c <= a + b;
always @(negedge clk)
f = c & d;
endmodule