Как передать несколько пакетов в качестве параметров модуля? - PullRequest
2 голосов
/ 03 октября 2019

Я пишу пакет сантехнических рычагов для создания модулей Intercon для моих проектов. В этом пакете с именем wbplumbing я объявил два пакета для интерфейсов Wishbone Master и Slave:

class WbMaster (val dwidth: Int,
                val awidth: Int) extends Bundle {
    val adr_o = Output(UInt(awidth.W))
// ...
    val cyc_o = Output(Bool())
    override def cloneType = (new WbMaster(dwidth, awidth)).asInstanceOf[this.type]
}

// Wishbone slave interface
class WbSlave (val dwidth: Int,
               val awidth: Int) extends Bundle {
  val adr_i = Input(UInt(awidth.W))
// ...
  val cyc_i = Input(Bool())
  override def cloneType = (new WbSlave(dwidth, awidth)).asInstanceOf[this.type]
}

Мой модуль Intercon принимает эти два пакета в качестве параметров:

// Wishbone Intercon Pass Trought : one master, one slave
class WbInterconPT (val awbm: WbMaster,
                    val awbs: WbSlave) extends Module {
  val io = IO(new Bundle{
    val wbm = Flipped(new WbMaster(awbm.dwidth, awbm.awidth))
    val wbs = Flipped(new WbSlave(awbs.dwidth, awbs.awidth))
})
//...
}

Два модуля IЯ хочу подключиться к этому Intercon в двух разных пакетах с именами spi2wb и mdio . Оба включают пакет wbplumbing с пакетом:

  • Для мастера:
import wbplumbing.WbMaster
  • Для подчиненного:
import wbplumbing.WbSlave

Затем на моем «верхнем» модуле я импортировал это:

// spi, mdio bus modules
import wbplumbing.WbInterconPT
import wbplumbing.{WbMaster, WbSlave} // <- not sure that usefull
import spi2wb.{Spi2Wb, SpiSlave}
import mdio.{MdioWb, MdioIf}

И создал его так:

  // Wishbone parameters
  val dwidth = 16
  val awidth = 2

  // module instantiation
  val spi2Wb = Module(new Spi2Wb(dwidth, awidth))
  val wbMdio = Module(new MdioWb(mainFreq, targetFreq))
  val wbIntercon = Module(new WbInterconPT(spi2Wb.io.wbm, wbMdio.io.wbs))

Затем я получаю ошибку типа:

[info] Set current project to spi2ksz (in build file:/pchpch/spi2ksz/)
[info] Compiling 1 Scala source to /pchpch/spi2ksz/target/scala-2.11/classes ...
[error] /pchpch/spi2ksz/src/main/scala/spi2ksz.scala:29:47: type mismatch;
[error]  found   : spi2wb.WbMaster
[error]  required: wbplumbing.WbMaster
[error]   val wbIntercon = new WbInterconPT(spi2Wb.io.wbm, wbMdio.io.wbs)
[error]                                               ^
[error] /pchpch/spi2ksz/src/main/scala/spi2ksz.scala:29:62: type mismatch;
[error]  found   : mdio.WbSlave
[error]  required: wbplumbing.WbSlave
[error]   val wbIntercon = new WbInterconPT(spi2Wb.io.wbm, wbMdio.io.wbs)
[error]                                                              ^
[error] two errors found
[error] (Compile / compileIncremental) Compilation failed

Я уверен, что решение глупо просто, но можно найти, как это сделать!

1 Ответ

2 голосов
/ 03 октября 2019

Хорошо, это была локальная ошибка публикации. В начале своего дизайна я объявил WbSlave и WbMaster Bundle в соответствующем пакете. Затем я опубликовал его.

Затем я написал пакет WbPlumbing, чтобы собрать все вместе. И я забываю «заново» опубликовать локальные два модуля.

Иногда, задавая вопрос, решаю проблему;)

...