Создание провода с настраиваемым индексным диапазоном - PullRequest
2 голосов
/ 23 октября 2019

Можно ли создать провод в Chisel3 с пользовательским индексированием, например, как это делается в Verilog?

Вот пример того, как это можно сделать в Verilog:

wire [7:4] a;

Iпопытался создать эквивалентный код Chisel3 с проводом ввода, имеющим пользовательский диапазон, как показано в следующем коде:

class cl extends Module{
    val io=IO(new Bundle{
        val in = Input(UInt(range = (7,4)))
        val out = Output(UInt(4.W))
    })
    io.out := io.in
}

Однако во время компиляции я получаю следующую ошибку:

[error] (диапазон: (chisel3.internal.firrtl.NumericBound [Int], chisel3.internal.firrtl.NumericBound [Int])) chisel3.core.UInt
[ошибка] (диапазон: chisel3.internal.firrtl.Range)chisel3.core.UInt
[ошибка] не может быть применена к (диапазон: (Int, Int))
[ошибка] val in = вход (UInt (диапазон = (7,4))) *

Существует ли допустимый синтаксис для Chisel3 для создания Wire с пользовательским индексированием, например, как это показано в примере Verilog?

...