Один вход в массив пользовательских модулей в Verilog - PullRequest
0 голосов
/ 30 октября 2009

Итак, у меня есть массив из 4 модулей ОЗУ, которые я хочу иметь возможность чтения / записи на основе двух разных сигналов селектора. Прямо сейчас я создаю экземпляр ОЗУ с помощью промежуточных сигналов:

    genvar i;
    generate
    for (i = 0; i < regnum; i=i+1) begin: regs    
         rfram_generic rf (clk,rst,ce_a_int[i],addr_a_int[i],do_a_int[i],
                               ce_b_int[i],addr_b_int[i],do_b_int[i],
                               ce_w_int[i],we_w_int[i],addr_w_int[i],
                               di_w_int[i]);
    end
    endgenerate

И я хочу выбрать ОЗУ для использования сигналов head или tail (2-битные векторы). Есть идеи как это сделать?

Ответы [ 3 ]

2 голосов
/ 11 декабря 2009

Я новичок здесь и пока не могу комментировать вопросы, но в ответ на Марти: большинство инструментов синтеза FPGA преобразуют внутренние многоисточниковые сигналы со значениями трех состояний в MUX-подобную логику, где это возможно. См., Например: описание старого трехстороннего логического поведения, которое звучит точно для меня .

В качестве рекомендации для Адама вам, вероятно, лучше сделать это явным в своем коде, выполнив маскировку самостоятельно, а не используя тристаты. Это улучшит переносимость, даст вам более предсказуемые результаты и послужит самодокументированием, если кто-нибудь когда-нибудь будет пересматривать ваш код.

Однако, делая некоторые предположения на основе вашего решения, вероятно, имеет смысл просто замаскировать разрешение синхронизации на порте записи и преобразовать выходной сигнал порта чтения. Например:

reg [WIDTH-1:0] do_a,do_b;
always @(*) do_a = do_a_int[head];
always @(*) do_b = do_b_int[tail];
generate
   genvar i;
   for (i = 0; i < regnum; i=i+1) begin: regs    
      rfram_generic rf (clk,rst,
                        ce_a,addr_a,do_a_int[i],
                        ce_b,addr_b,do_b_int[i],
                        ce_w,head==i?we_w:1'b0,addr_w,di_w);
   end
endgenerate

Это, вероятно, приведет к менее сложной логике (, т.е. , лучшая площадь и задержка), чем ваше решение.

0 голосов
/ 02 ноября 2009

рад слышать, что вы нашли решение вашей проблемы. Должен признать, что я не до конца понял, что вы задумали, но в одном комментарии вы также можете использовать if внутри генерации операторов и, таким образом, создавать экземпляры разных модулей или использовать разные сигналы в зависимости от genvar, например ::

generate
    genvar i;
    for (i = 0; i < regnum; i=i+1) begin: regs
        if (i == head) begin
            rfram_generic_a rf(...);
        end else if (i == tail) begin
            rfram_generic_b rf(...);
        end else begin
            rfram_generic_c rf(...);
        end
    end
endgenerate
0 голосов
/ 30 октября 2009

Я думаю, я понял, должен использовать оператор генерирования:

genvar i;
generate 
    for (i = 0; i < regnum; i=i+1) begin: sigassign
        //read from the checkpoint in progress
        assign ce_a_int[i] = (head == i) ? ce_a : 'bz;
        assign addr_a_int[i] = (head == i) ? addr_a : 'bz;
        assign do_a = (head == i) ? do_a_int[i] : 'bz;
        //write to the checkpoint in progress
        assign ce_w_int[i] = (head == i) ? ce_w : 'bz;
        assign we_w_int[i] = (head == i) ? we_w : 'bz;
        assign addr_w_int[i] = (head == i) ? addr_w : 'bz;
        assign di_w_int[i] = (head == i) ? di_w : 'bz;
        //read from the last stable checkpoint
        assign ce_b_int[i] = (tail == i) ? ce_b : 'bz;
        assign addr_b_int[i] = (tail == i) ? addr_b : 'bz;
        assign do_b = (tail == i) ? do_b_int[i] : 'bz;
    end
endgenerate
...