VIM: выравнивание столбцов в VHDL - PullRequest
0 голосов
/ 21 января 2020

У меня есть первое ('_' означает пробел):

    _stream      : in std_logic_vector(7 downto 0);
    _valid             : in std_logic;
    _ready             : out std_logic;
    _opcode           : out std_logic_vector(7 downto 0);
    _count             : out std_logic_vector(5 downto 0);
    _last               : out std_logic;

Я хотел бы иметь:

    stream              : in std_logic_vector(7 downto 0);
    valid               : in std_logic;
    ready               : out std_logic;
    opcode              : out std_logic_vector(7 downto 0);
    count               : out std_logic_vector(5 downto 0);
    last                : out std_logic;

Пробелы между первым словом и ':' являются смесь вкладок и пробелов. Я хочу использовать вкладки.

1 Ответ

0 голосов
/ 22 января 2020

Выровнять двоеточие очень просто:

:'<,'>!column -t -s: -o:

-s .................... separator
-o .................... output separator

После этого вы можете и несколько пробелов в начале:

:%norm! I<Space><Space>

Где "<Space>" печатается буквально

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...