У меня есть первое ('_' означает пробел):
_stream : in std_logic_vector(7 downto 0);
_valid : in std_logic;
_ready : out std_logic;
_opcode : out std_logic_vector(7 downto 0);
_count : out std_logic_vector(5 downto 0);
_last : out std_logic;
Я хотел бы иметь:
stream : in std_logic_vector(7 downto 0);
valid : in std_logic;
ready : out std_logic;
opcode : out std_logic_vector(7 downto 0);
count : out std_logic_vector(5 downto 0);
last : out std_logic;
Пробелы между первым словом и ':' являются смесь вкладок и пробелов. Я хочу использовать вкладки.