Что использовать для VHDL / цифрового логического моделирования в Mac OS X - PullRequest
12 голосов
/ 25 января 2011

Внезапно я понял, что в Mac OS X нет ни Altera Quartus, ни Xilins ISE, ни ModelSim.

Что люди используют для моделирования VHDL и схем на Mac, по крайней мере?

Ответы [ 3 ]

5 голосов
/ 25 января 2011

Попробуйте GHDL (альтернативная ссылка: на ghdl.free.fr ).

С сайта:

GHDL isсимулятор с открытым исходным кодом для языка VHDL.GHDL позволяет вам компилировать и выполнять код VHDL прямо на вашем ПК.В сочетании с средством просмотра волн на основе графического интерфейса пользователя и хорошим текстовым редактором VHDL GHDL является очень мощным инструментом для написания, тестирования и моделирования вашего кода VHDL.

GHDL основан на очень популярном компиляторе GNU GCC и работаетLinux, Windows и Apple OS X. Вы можете свободно загрузить бинарный дистрибутив для своей ОС или попробовать скомпилировать GHDL на своей собственной машине.

3 голосов
/ 25 января 2011

Я использую aquamacs для ввода дизайна.Для verilog я использую icarus и gtkwave.

Я не пробовал GHDL, я запускаю безголовый сервер Linux через VirtualBox и запускаю modelsim через ssh с пересылкой X11.Это также работает для ISE и Quartus.

Обратите внимание, что для пересылки X11 на Mac вы должны включить доверенный в вашей конфигурации

X11Forwarding     yes
ForwardX11Trusted yes

или в командной строке

ssh -Y

Дайте мне знать, как вы поживаете.

2 голосов
/ 26 января 2011

Большинство людей используют Parallels (или другой инструмент виртуализации), чтобы они могли использовать ModelSim на Parallels на своем Mac. Некоторые просто используют SSH на сервере Linux компании, который запускает симулятор.

Еще один интересный способ - www.plunify.com . Это сервис, который запускает ваши симуляции в облаке и отправляет вам результаты по электронной почте.

Наконец, я слышал, что есть коммерческий симулятор VHDL, который изначально работает на Mac OS X: DirectVHDL . У него есть ограничения, но он может быть достаточно хорош для начала.

...