Добрый день, и спасибо за просмотр этого вопроса
Я использую VCSMX (версия от июня 2011 г.) для моделирования ядра с интерфейсом типа записи.Ядро написано все на VHDL.Я использую Systemverilog (SV) Testbench для возбуждения этого ядра.
Запись выглядит примерно так:
type ll_port is record
frame_name : std_logic_vector(WIDTH-1 downto 0);
frame_valid : std_logic;
.
.
.
end record;
Я прочитал, что тип записи VHDL такой же, как struct в SV.Тем не менее, кажется, что это все еще не поддерживается в VCSMX, когда я пытаюсь реализовать структуру ll_port в SV.Верное решение состоит в том, чтобы разложить порт записи на std_logic и std_logic_vector.
Однако этот тип записи в VHDL будет использоваться несколько раз, и в окончательной системе будет взаимодействовать несколько ядер, что приведет к разложению каждой записипорт действительно громоздкий.
Я искал, как решить эту проблему и какие советы я могу получить в этом вопросе.У меня уже есть пользовательская инфраструктура моделирования в SystemVerilog, и я хотел бы знать, есть ли обходные пути для этой проблемы.
RRS