о Synplify VHDL (код, импортированный из Xilinx ISE) - PullRequest
1 голос
/ 28 ноября 2011

Я начинаю работать с Synopsl Synplify.Импортируйте мой проект Xilinx ISE (полностью работает).

Попробуйте запустить и получить - "Нет соответствующей перегрузки для to_integer" для этой строки

rgb(7 downto 0) <= color_lut(result_reg(to_integer(unsigned(x(2 downto 0))-1 )));

Что я могу сделать, чтобы принять этот кусок для Synplify?

1 Ответ

0 голосов
/ 28 ноября 2011

Вы должны иметь возможность использовать следующие библиотеки в synplify:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.fixed_pkg.all;

В разделе параметров реализации> VHDL Tab убедитесь, что вы включили флажок "VHDL 2008". Я не думаю, что он включен по умолчанию. Это может быть вашей проблемой.

...