Получение синтаксических ошибок - PullRequest
0 голосов
/ 15 июня 2019

Я продолжаю получать ошибки.Они указаны как синтаксические ошибки, но я считаю, что есть и другие проблемы.

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;

entity bottlefill is
port (  clk, reset: IN STD_LOGIC;
        b, p: in std_logic;
        m, v: out std_logic;
);

end bottlefill;

ARCHITECTURE a of bottlefill is
type state is (stopped, posi, fill);
signal current1, next1: state;
signal c: integer range 0 to 15;
signal full: std_logic; 

begin
process(clk, reset)
begin
    if reset = '1' then
        current1 <= stopped;
        elsif clk'event and clk = 1
                then current1 <= next1;
        end if;
end process;

process(current1, b, p, stop)
begin
    next1 <= current1;
    case current1 is
    when stopped =>
        if b = '1' then
                next1 <= posi;
                end if;
                m = '1';
                v = '0';
    when posi =>
        if p = '1' then 
                next1 <= fill;
                end if;
                m = '0';
                v = '1';
    when fill  =>
        if full = '1' then
                next1 <= stopped;
                end if;
                m = '0';
                v = '0';
    end case;
end process;


process(clk reset)
begin
    if reset = '1'
        then c <= 0;
    elsif clk'event and clk = '1' 
        then if current1 = fill
            then c <= c + 1;
        else
            c <= 0;
        end if
    end process;

    full <= '1' when c >= 5
        else '0';

Информация: Команда: quartus_map --read_settings_files = on --write_settings_files = off bottlefill -c bottlefill
Ошибка (10500): синтаксическая ошибка VHDL в bottlefill.vhd (9) рядом с текстом ")";ожидание идентификатора, или «константы», или «файла», или «сигнала», или «переменной»
Ошибка (10500): синтаксическая ошибка VHDL в bottlefill.vhd (14) рядом с текстом «)»;ожидание ":" или ","
Ошибка (10500): синтаксическая ошибка VHDL в bottlefill.vhd (19) рядом с текстом "begin";ожидание идентификатора («начало» - зарезервированное ключевое слово), или «константа», или «файл», или «сигнал», или «переменная»
Ошибка (10500): синтаксическая ошибка VHDL в bottlefill.vhd (29)рядом с текстом ")";ожидая ":" или ","
Информация: Найдено 0 единиц проектирования, включая 0 сущностей, в исходном файле bottlefill.vhd

1 Ответ

1 голос
/ 15 июня 2019

Я исправил ваш код, чтобы больше не было синтаксических ошибок. Единственное, что вам нужно проверить, это код в строке 68. Я не понял, что вы хотели там сделать.

Я прокомментировал ваши синтаксические ошибки, чтобы вы могли видеть причину ошибок.

Надеюсь, это вам немного помогло.

   LIBRARY ieee;
    USE ieee.std_logic_1164.all;
    USE ieee.numeric_std.all;

    entity bottlefill is
    port (  clk, reset: IN STD_LOGIC;
            b, p: in std_logic;
            m, v: out std_logic -- First mistake here
    );

    end bottlefill;

    ARCHITECTURE behavioral of bottlefill is
        type state is (stopped, posi, fill);
        signal current1, next1: state;
        signal c: integer range 0 to 15;
        signal full: std_logic; 
    begin

    process(clk, reset)
    begin
       if reset = '1' then
           current1 <= stopped;
       elsif clk'event and clk = '1' then -- Mistake with clk = 1 => clk = '1'
           current1 <= next1;
       end if;
    end process;

    process(current1, b, p) -- Stop is not declared here
    begin
       next1 <= current1;
     case current1 is
       when stopped =>
        if b = '1' then
                next1 <= posi;
                end if;
                m <= '1'; -- = is not <= signal assignment !!
                v <= '0'; -- = is not <= signal assignment !!
       when posi =>
        if p = '1' then 
                next1 <= fill;
                end if;
                m <= '0'; -- = is not <= signal assignment !!
                v <= '1'; -- = is not <= signal assignment !!
       when fill  =>
        if full = '1' then
                next1 <= stopped;
                end if;
                m <= '0'; -- = is not <= signal assignment !!
                v <= '0'; -- = is not <= signal assignment !!
        end case;
      end process;


     process(clk, reset) -- komma here
     begin
      if reset = '1' then 
        c <= 0;
        elsif clk'event and clk = '1' then 
            if current1 = fill then 
                c <= c + 1;
            else
                c <= 0;
            end if; -- forgot ;
        end if; -- forgot to close the upper is statement
      end process;

    -- i dont get what u want to do here. Take a look at " Select signal assigment" on  google
    -- I think you want to do that.
    --full <= '1' when c >= 5
                --else '0';

      end behavioral; -- forgot to end your architecture
...