Рассмотрим этот код:
import chisel3.experimental.ChiselEnum
object MyEnum extends ChiselEnum {
val A, B = Value
}
class UseEnumIO extends Module {
val io = IO(new Bundle {
val in = Input(UInt(1.W))
val out = Output(Bool())
})
io.out := MuxLookup(io.in, false.B, Array(
MyEnum.A -> true.B,
MyEnum.B -> true.B
))
}
Мне нужно использовать порт ввода-вывода, который должен быть ChiselEnum
объектом в MuxLookup
.
Это сообщение об ошибке, которое я получил от SBT:
[error] found : scala.collection.mutable.WrappedArray[(MyEnum.Type, chisel3.core.Bool)]
пока Скала предположил, что [S <: chisel3.UInt,T <: chisel3.Data]
Я также попытался val in = Input(MyEnum.Type)
, что дало мне более серьезную ошибку.
val defaultVersions = Map(
"chisel3" -> "3.2-SNAPSHOT
)