Компоненты 1-битной диаграммы АЛУ - PullRequest
1 голос
/ 09 мая 2019

Я знаю, что компонент в VHDL - это:

Модуль VHDL многократного использования, который может быть объявлен в другой цифровой логической схеме с помощью объявления Компонента кода VHDL.Это помогает легко реализовать иерархическое проектирование.

Но может ли кто-нибудь объяснить / показать мне, какие компоненты я должен объявить в своем коде VHDL на следующем изображении? enter image description here

Например, это правильно?:

architecture Behavioral of ALU1Bit is

component Adder1Bit
port(
carryIn:  IN std_logic;
A: IN std_logic;
B: IN std_logic;

output:  OUT std_logic;
F: OUT std_logic
);
end component;

begin
....
end Behavioral;

1 Ответ

2 голосов
/ 09 мая 2019

Это правильно, если вы, например, инициализируете все более простые компоненты, которые составляют 1-битный сумматор.Вы должны инициализировать все компоненты and, or и т. Д., Инициализировать все внутренние сигналы и назначить соответствующие значения для входа / выхода.

Изменить, чтобы уточнить: Adder1Bit, который вы объявилидолжен быть разработан в каком-то другом файле.В коде, который вы перечислили, вы просто используете его повторно.В этом другом файле, который является файлом дизайна Adder1Bit, вы должны инициализировать все необходимые (более простые элементы, такие как и, или, ни, и т. Д.), Чтобы Adder1Bit работал должным образом.

...