Я ввожу в дисплей с семью сегментами от часов, но прямо сейчас вывод от часов в целых числах.как я могу преобразовать его в двоичный файл?
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture behav of converter is
signal x : integer;
signal xbin : std_logic_vector(3 downto 0);
begin
xbin <= std_logic_vector(to_unsigned(x, xbin'length));
end behav;