Вызов MATLAB из файла DLL - PullRequest
0 голосов
/ 28 июня 2019

Я знаю, что это было опубликовано ранее, но ни один из ответов не работает для меня.

Я использую VISSIM v10 и создал файл DLL для создания модели внешнего драйвера.Это работает хорошо.Но я хочу вместо этого реализовать алгоритмы в MATLAB и заставить файл DLL отправлять данные в Matlab, а затем Matlab вычисляет обновления значений и отправляет их обратно в DLL.Затем DLL отправляет значение обратно в VISSIM.

Итак, наряду с файлами .vcproj, .h и .cpp, предоставленными VISSIM для создания DLL-файла (я использую Microsoft Visual Studio 19), я добавил файл engine.h в тот же файл проекта, чтобыв состоянии использовать подпрограммы движка, но это дает ошибку «неразрешенный внешний символ» для engOpen и engEvalString.Я пробую то же самое в новом файле .cpp, и он работает, но как я могу связать это с VISSIM ..

Я добавил все библиотеки и включил каталоги в свойствах и типе конфигурации как .dll.

Теттаманти и Варга (2012) упоминают, что это способ сделать это.Но это не работает для меня сейчас.Помощь?

...