Вопросы с тегом intel-fpga - PullRequest

Вопросы с тегом intel-fpga

0 голосов
0 ответов

Как AFDX общается с внешним миром. Т.е. шина AFDX и оконечная система. Как это можно реализовать в...

srihari / 11 июля 2019
1 голос
0 ответов

Я пытаюсь использовать Altera DE0-nano для связи с ПК.Я использую кабель ttl-232R-3v3, в настоящее...

Cormack / 09 июля 2019
0 голосов
1 ответ

Я пытаюсь загрузить 1 ГБ данных в DDR3 память, чтобы позже использовать ее биты для on-chip...

Sherif AbdelFadil / 06 июля 2019
0 голосов
1 ответ

Мне нужно реализовать 2 или более независимых устройства на ПЛИС (Altera Cyclone III).Например: два...

Pavlishin Nikita / 03 июля 2019
0 голосов
0 ответов

Я ищу способ подключения FTDI FT601 или FT600 USB3.0 к FIFO конвертер к FPGA Intel (Altera).Цель...

Johnny / 30 июня 2019
0 голосов
1 ответ

У меня есть таблица с известным количеством элементов. Я хотел бы рандомизировать его элементы так,...

Noura AIT MANSSOUR / 21 июня 2019
0 голосов
1 ответ

У меня есть проект на основе buildroot для Intel FPGA SoC, использующий OpenCL.Есть несколько...

PluginPenguin / 18 июня 2019
1 голос
1 ответ

Мне нужно выделить в FPGA массив из 20 элементов, каждый размером 323 бита, но я не знаю, сможет ли...

Noura AIT MANSSOUR / 14 июня 2019
0 голосов
0 ответов

При попытке скомпилировать пример добавления вектора Intel с использованием компилятора,...

Ana / 11 июня 2019
2 голосов
1 ответ

Я обращаюсь к памяти на FPGA из HPS под управлением Linux, и наткнулся на проблему. { long long...

user3637713 / 07 июня 2019
0 голосов
0 ответов

Мне нужно запустить программное обеспечение, используя параллелизм на уровне потоков в...

ASubr1 / 06 июня 2019
0 голосов
0 ответов

Я пытаюсь ознакомиться с Cyclone V FPGA, у меня есть Cyclone V GT Dev Kit.Комплект разработчика...

Dustin / 30 мая 2019
1 голос
3 ответов

Я пытаюсь скомпилировать ядро ​​для эмуляции с aoc в Intel FPGA SDK, я установил все переменные...

Luis Alberto / 11 мая 2019
0 голосов
1 ответ

Я пытаюсь спроектировать приемник rs232 в VHDL: я посылаю числа с помощью скрипта на python,...

NRagot / 08 мая 2019
0 голосов
1 ответ

Как влияет настройка режима вытягивания на вывод, обозначенный как выход в синтезе? Действует ли...

CJC / 03 мая 2019
0 голосов
1 ответ

Я работаю с de10 nano Intel SoC.Чтобы прочитать некоторые регистры из части FPGA из проекта с...

Mati Oliva / 30 апреля 2019
0 голосов
1 ответ

Извините за непонятный заголовок, потому что я не знаю, как описать это в одном предложении. Это...

simpsons3 / 22 апреля 2019
0 голосов
1 ответ

Чего я хочу достичь Я пытаюсь настроить набор инструментов для компиляции приложений OpenCL для...

PluginPenguin / 16 апреля 2019
0 голосов
0 ответов

Я программирую на VHDL 1993 под QUARTUS II, и я только что создал два компонента, чтобы попытаться...

Vitoco.lr / 08 апреля 2019
0 голосов
0 ответов

Я пытаюсь прочитать ввод с клавиатуры и хочу, чтобы введенный пользователем номер сохранялся на...

D.k / 29 марта 2019
0 голосов
0 ответов

Я использую ModelSim для симуляции 4-битного вычитателя, и я пытаюсь применить волновую константу к...

Janeson00 / 28 марта 2019
0 голосов
0 ответов

У меня небольшие проблемы с моим кодом. Я написал простой код для последовательного считывателя для...

L Rong Fei / 26 марта 2019
0 голосов
0 ответов

Я хочу добавить выходной файл .hex простого проекта NIOS II в процесс загрузки потока битов в...

Florian Muttenthaler / 18 марта 2019
1 голос
2 ответов

Я пишу некоторый C-программный код для микропроцессора Altera / Nios II (uP). Этот код будет...

Yakov / 18 марта 2019
0 голосов
1 ответ

Я делаю голый металлический проект на Cyclone V, и сейчас я пытаюсь сделать голое металлическое...

Giant Banana / 14 марта 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...