Вопросы с тегом intel-fpga - PullRequest

Вопросы с тегом intel-fpga

0 голосов
0 ответов

Я пытаюсь установить драйвер для DE5-NET FPGA. Я использую Intel FPGA SDK для OpenCL 16.0 в Ubuntu...

Siladittya / 12 марта 2019
0 голосов
1 ответ

Я только что купил новую плату Cyclone V от Terasic (Atlas-SoC) и планировал создать голое...

Giant Banana / 08 марта 2019
0 голосов
0 ответов

Я новичок в разработке драйверов для Linux.Я сталкиваюсь с проблемой невозможности получить IRQ при...

HonanLi / 26 февраля 2019
0 голосов
0 ответов

Я хотел бы использовать плату Altera DE1_soc для последовательной передачи данных с моего ПК на...

LEE / 19 февраля 2019
0 голосов
0 ответов

Мне нравится, когда файл проекта остается без изменений, если я не приму активное решение добавить...

Bimme / 31 января 2019
0 голосов
1 ответ

Я ищу USB Host Control для подключения USB-клавиатуры и игрового контроллера PS для управления...

Segev Livneh / 11 января 2019
0 голосов
1 ответ
0 голосов
0 ответов

Здесь здесь написано В AHDL операторы умножения выполняют операции умножения и деления...

sashaaero / 21 декабря 2018
0 голосов
0 ответов

Можем ли мы выполнить преобразование Фурье изображения с помощью IP-ядра ALTERA.Это ядро...

Mert Ege / 14 декабря 2018
0 голосов
1 ответ

Я делаю часы с переменной частотой на AHDL.Алгоритм таков: один счетчик (триггер) считает от 0 до x...

sashaaero / 14 декабря 2018
0 голосов
1 ответ

Я купил дешевую доску с Altera Cyclone II у ebay и хочу начать экспериментировать. Я создал простую...

mravenca / 16 ноября 2018
0 голосов
0 ответов

У меня есть схема стробирования тактовых импульсов, позволяющая установить несколько тактовых...

Vinzent Meier / 23 октября 2018
0 голосов
1 ответ

Я использую poky для создания BSP для моей платы DE0-Nano-SoC.я получил следующую ошибку.Пожалуйста...

Andy / 02 октября 2018
0 голосов
0 ответов

У меня уже есть скомпилированная сборка FPGA в моей рабочей области.Когда я открываю его в Quartus...

Gord Wait / 27 сентября 2018
0 голосов
1 ответ

Я пытаюсь смоделировать программный IP-адрес LVDS на MAX10 FPGA с помощью modelsim-altera , он...

alpha / 25 сентября 2018
0 голосов
0 ответов

Я хочу начать писать код приложения для "DMA Altera IP DMA-сбора данных", который передает данные...

anil kadiyala / 05 сентября 2018
0 голосов
1 ответ
0 голосов
0 ответов
1 голос
1 ответ

Это вопрос об Альтера Квартус. Предположим, у меня есть файл PDF с несколькими объектами. У каждой...

vsushkov / 29 ноября 2011
0 голосов
1 ответ

Это полный код library ieee; use ieee.std_logic_1164.all; entity move_key_detector is PORT( clk :...

CppLearner / 19 ноября 2011
2 голосов
3 ответов

Итак, я разрабатываю ALU для архитектуры MIPS и пытаюсь сделать сдвиг влево и вправо, чтобы ALU мог...

Adriano / 22 июня 2011
1 голос
4 ответов

ОСНОВНЫЕ РЕДАКТИРОВАТЬ: Проблема была решена после прочтения комментария Уилла Дина. Оригинальный...

medivh / 07 июня 2011
0 голосов
2 ответов

Я пытаюсь уменьшить количество логических элементов в моем VHDL-коде.Я использую Quartus II для...

Ashish Agarwal / 05 июня 2011
2 голосов
2 ответов

Мне нужно решить проблему с внешним входом в CPLD, поместив его через буфер с тремя состояниями.Я...

medivh / 13 мая 2011
4 голосов
1 ответ

Это должно быть самой распространенной проблемой среди людей, плохо знакомых с VHDL, но я не вижу,...

Harold Forrest / 13 мая 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...