моделировать программный IP-адрес LVDS - PullRequest
0 голосов
/ 25 сентября 2018

Я пытаюсь смоделировать программный IP-адрес LVDS на MAX10 FPGA с помощью modelsim-altera

, он отлично работает с приемником, но при моделировании передатчика я получаю следующие ошибки:

Загрузка fiftyfivenm.fiftyfivenm_ddio_out(behavior)

# ** Ошибка: (vsim-3033) nofile (38): Ошибка создания dffeas.Единица разработки не найдена.

# Время: 0 пс Итерация: 0 Экземпляр: / top / ttx / ddio_out / loop0 (0) / ddio_outa / inst Файл: nofile

# Найденные библиотеки:

# C: / Users / alphatec / Desktop / test_sim / lvds_tx2 / моделирование / modelsim / rtl_work

Спасибо за помощь

1 Ответ

0 голосов
/ 22 ноября 2018

Если вы используете Modelsim-Altera, пожалуйста, убедитесь, что вы используете библиотеку 'altera'.'dffeas' находится в этой библиотеке.Из командной строки это должно быть примерно так:

vsim -L fiftyfivenm_ver -L altera $YOUR_OWN_TESTBENCH

В графическом интерфейсе убедитесь, что вы добавляете библиотеки перед началом моделирования.

Добавление библиотек в Modelsim

...