Тристатовые буферы в Quartus II - PullRequest
2 голосов
/ 13 мая 2011

Мне нужно решить проблему с внешним входом в CPLD, поместив его через буфер с тремя состояниями.Я знаю, что в Quartus II есть мегафункция тристат-буфера, но мне любопытно - если я просто скажу, чтобы он выводил Z на конкретном выводе, он автоматически синтезирует так, чтобы на этом выводе был включен буфер с тремя состояниями, или мне нужно реализоватьфункция / запись в буфер?

Ответы [ 2 ]

3 голосов
/ 16 мая 2011

Глава 10 - Рекомендуемый стиль кодирования HDL - в руководстве Quartus вы найдете все, что вам нужно знать: http://www.altera.com/literature/hb/qts/qts_qii51007.pdf

В итоге, буферы с тремя состояниями будут выведены на выходные порты, если вы управляете им с "Z".

1 голос
/ 13 мая 2011

Вы можете сделать это любым способом. Если вы назначите «Z» для вывода (ПРИМЕЧАНИЕ: это должен быть верхний регистр Z, нижний регистр сбивает с толку Quartus) будет выведен буфер из трех состояний. Кроме того, вы можете напрямую создавать экземпляры различных низкоуровневых примитивов ввода / вывода, которые имеют вывод активации трех состояний (включая различные примитивы ввода / вывода DDR).

Я обычно разрешал Quartus выводить буферы из трех состояний на «нормальные» выводы ввода / вывода и использовал низкоуровневые примитивы, когда время имеет решающее значение, и я хочу принудительно использовать триггеры кольца ввода / вывода используйте функции ввода-вывода DDR и т. д.

...