• 1000 ) рядом с текстом: "["; ожидая ")". Проверьте и исправьте любые синтаксические ошибки, которые появляются непосредственно перед указанным ключевым словом или рядом с ним. База данных Intel FPGA Knowledge Database содержит множество статей с c подробностями о том, как устранить эту ошибку. Посетите базу данных знаний по адресу
https://www.altera.com/support/support-resources/knowledge-base/search.html и найдите этот конкретный c номер сообщения об ошибке.
Я пытаюсь подключить [3:0]d
к in1
и in2
(для двух отдельных экземпляров).
Вот код:
module mlt(in1,in2,out,clk,en);
input clk;
input en;
input wire [3:0] in1,in2;
output reg [7:0] out;
reg [7:0]temp_o;
reg t1;
reg [3:0]temp_1;
reg [3:0]temp_2;
wire w1,w2,w3,w4,w5,w6,w7,w8;
d_latch u1 (.d[0](in1[0]),.d[1](in1[1]),.d[2](in1[2]),.d[3](in1[3]),
.clk(clk),
.q[0](w1),.q[1](w2),.q[2](w3),.q[3](w4));
d_latch u2 (.d[0](in2[0]),.d[1](in2[1]),.d[2](in2[2]),.d[3](in2[3]),
.clk(clk),
.q[0](w5),.q[1](w6),.q[2](w7),.q[3](w8));
//…………
//………
module d_latch (d,clk,q);
input [3:0]d;
input clk;
output reg [3:0]q;
always @ (posedge clk)
q<=d;
endmodule