Вопросы с тегом quartus - PullRequest

Вопросы с тегом quartus

0 голосов
1 ответ

Я делаю декодер для ПЛИС. Код verilog компилируется, но переключатели ничего не делают. Я четыре...

savageface / 27 октября 2019
0 голосов
0 ответов

У меня совершенно непонятная ошибка. После того, как я скомпилировал свой код в Quartus II 10.1 и...

Fatma Vural / 23 октября 2019
0 голосов
0 ответов

Я хочу скомпилировать проект Quartus II в gitlab-runner.Я написал файл bat, и он скомпилирован в...

dtspmt young / 08 мая 2019
1 голос
1 ответ

Следующая проблема - домашнее задание. Мне нужно создать 1-битный ALU среза, который может...

Konstantinos Kornarakis / 29 апреля 2019
0 голосов
0 ответов

Итак, у меня проблема. Мой проект состоит из сложных каналов UART TX и RX с большим количеством...

Vlad Timofeev / 21 марта 2019
0 голосов
0 ответов

Я хочу добавить выходной файл .hex простого проекта NIOS II в процесс загрузки потока битов в...

Florian Muttenthaler / 18 марта 2019
0 голосов
1 ответ

Я получаю эту ошибку от Quartus при попытке компиляции: Ошибка (10200): ошибка условного оператора...

Caleb W. / 22 ноября 2018
0 голосов
0 ответов

Я создал этот код VHDL, и тестирование его с помощью файла VWF кажется работоспособным. Но конечный...

Giorgio Di Rocco / 07 ноября 2018
2 голосов
2 ответов

Мне нужно решить проблему с внешним входом в CPLD, поместив его через буфер с тремя состояниями.Я...

medivh / 13 мая 2011
0 голосов
1 ответ

Как переменная отображается в средстве просмотра RTL в Quartus.Я открываю средство просмотра RTL, и...

infinitloop / 01 декабря 2010
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...