8-входный NAND Gate - PullRequest
       6

8-входный NAND Gate

0 голосов
/ 05 ноября 2018

У меня есть назначение для создания 8-ми входного NANDGate с использованием цикла for.

Это то, что я имею до сих пор:

entity NANDGATE is 
    port ( 
        A: in  std_logic_vector (7 downto 0);  
        X: out std_logic
    );
end entity;

architecture behavioral of NANDGATE is
begin
    process (A)  
    begin
        op <= ’0′;   
        for i in 7 downto 0 loop 
            if inp(i) = ’0′ then  
                op <=’1′;
            end if;
        end loop;
    end process;
end architecture behavioral;

Я только начинаю узнавать о VHDL. Я не очень хорош в этом, надеюсь, кто-то может мне помочь, чтобы я мог понять.

1 Ответ

0 голосов
/ 06 ноября 2018

Если вы используете VHDL 2008, просто напишите:

op <= nand A; </p>

Нет необходимости в цикле.

...