Используйте стандартный векторный тип и внутренне конвертируйте его в целое число:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity my_design is
port(
v1, v2, v3, v4: in std_ulogic_vector(15 downto 0);
...
);
end entity my_design;
architecture rtl of my_design is
signal i1, i2, i3, i4: natural range 0 to 2**16 - 1;
...
begin
i1 <= to_integer(unsigned(v1));
i2 <= to_integer(unsigned(v1));
i3 <= to_integer(unsigned(v1));
i4 <= to_integer(unsigned(v1));
...
-- use signals i1, i2, i3, i4
...
end architecture rtl;
Если ваши целые числа подписаны, используйте:
signal i1, i2, i3, i4: integer range -2**15 to 2**15 - 1;
и:
i1 <= to_integer(signed(v1));