Как я могу решить ошибки моей VHDL FIFO памяти? - PullRequest
0 голосов
/ 08 мая 2018

Я создал память fifo в vhdl, но у меня есть некоторые проблемы с ней. Чтобы быть более точным, я положил 16 (объем памяти) элементов в моей памяти, но когда я хочу прочитать из памяти, я могу просто прочитать 14 элементов (мой пустой сигнал становится 1 слишком рано). Вот код: код

...