Мои выходные данные в 4bit fullAdder всегда z и не меняются - PullRequest
0 голосов
/ 03 января 2019

Я пишу 4-битный полный сумматор с verilog в Active-hdl. Я думаю, что мой код и тестовый стенд верны, но значения sum и cout всегда равны z в форме волны, кто-нибудь может мне помочь, в чем проблема. код и тест-бек

    module fullAdder(A, B, cin, sum, cout);
    input A,B,cin;
    output sum,cout;
    assign {cout , sum} = A + B + cin;
endmodule

module fullAdder4bits(A, B, cin, sum, cout);
    input [3:0] A,B;
    input cin;
    output [3:0] sum;
    output cout;
    wire w1,w2,w3;
    fullAdder I0(A[0],B[0],cin,sum[0],w1);  
    fullAdder I1(A[1],B[1],w1,sum[1],w2);
    fullAdder I2(A[2],B[2],w2,sum[2],w3);
    fullAdder I3(A[3],B[3],w3,sum[3],cout);
endmodule   
`timescale 1 ns/1 ps  
module testbench;
    reg tcin;
    reg [3:0] tA,tB;
    wire [3:0] tsum;
    wire tcout;
    fullAdder4bits dut(tA, tB, tcin, tsum, tcout);
    initial 
        begin
        tA = 0;
        tB = 0;
        tcin = 0;
        #10 tA = 5;
        #10 tB = 8;
        #10 tA = 7;
        #10 tcin = 1;
    end
    initial $monitor("A = %d , B = %d , cin = %b , sum = %d , cout = %b",tA,tB,tcin,tsum,tcout);  
    initial #60 $finish;
    endmodule

the output in waveform

1 Ответ

0 голосов
/ 03 января 2019

Я не смог обнаружить никаких ошибок, поэтому я решил бросить ваш дизайн на Vivado.

Помогает, что вы дали полный код, включающий тестовый стенд!

Ваш код выглядит хорошо ив Vivado я не вижу статус 'z':

enter image description here

...