Как использовать команду write_json в YosysJS - PullRequest
0 голосов
/ 18 мая 2018

Я новичок в Yosys и пытаюсь использовать YosysJS для генерации описания json входного файла verilog.Есть документация о том, как использовать команду в Yosys.Но я не понимаю, как использовать его в YosysJS.Я могу нарисовать RTL-диаграмму после различных этапов синтеза, используя следующий код:

    ys.write_file("input.v", document.getElementById("code").value);
    ys.run("design -reset; read_verilog input.v; synth -run coarse; show - 
    stretch");
    YosysJS.dot_into_svg(ys.read_file("show.dot"), "svg");

Я хотел бы знать, какую команду необходимо выполнить в функции ys.run () в качестве параметра.

Спасибо.

1 Ответ

0 голосов
/ 19 мая 2018

Какие команды вы хотите выполнить, полностью зависит от того, что вы пытаетесь сделать.См. Что такое хороший "шаблонный" скрипт синтеза Yosys? для некоторых указателей.Выполнение help выдаст вам список всех доступных команд (а help <command> выведет подробности для указанной команды).

...