Вопросы с тегом yosys - PullRequest

Вопросы с тегом yosys

0 голосов
0 ответов

Я пытаюсь синтезировать код verilog, который я часто использовал для циклов. Тем не менее, я...

M.X / 11 ноября 2019
0 голосов
1 ответ

Я хотел бы написать verilog, который можно синтезировать с использованием yosys (предпочтительно)...

Venkat / 25 октября 2019
0 голосов
2 ответов

Я работаю над простым модулем синхронизации видеосигнала в Verilog, как учебный проект. Из...

Martin Atkins / 30 сентября 2019
0 голосов
1 ответ

Когда я синтезирую свой verilog-код с использованием YOSYS, сгенерированный список соединений...

sudohumberto / 02 июля 2019
0 голосов
0 ответов

Если (асинхронный сброс и запись_ru) имеют значение true на тех же часах, а затем на следующих...

kevin / 02 июля 2019
0 голосов
0 ответов

Я думаю, что у меня такая же проблема, как в Инструкция Yosys "sat -dump_cnf" . Я пытаюсь...

David Radakovits / 01 июля 2019
0 голосов
0 ответов

Я новичок в Yosys и синтезе, но я хочу добиться того, чтобы получить число вентилей и транзисторов...

user2987773 / 11 июня 2019
0 голосов
0 ответов

Мне интересно, можно ли использовать Yosys для упрощения логических уравнений. Например: module top...

Xedar / 11 июня 2019
1 голос
2 ответов

Как я могу добавить переключаемое подтягивание к выводу Tri-State? В icestudio есть блоки...

Ursin Solèr / 09 июня 2019
0 голосов
1 ответ

Я хочу синтезировать дизайн vhdl для стандартных библиотек ячеек ASIC, чтобы найти требования к...

khan / 29 мая 2019
0 голосов
1 ответ

[Yosys 0.8] Мой коллега бросил Yosys какой-то случайный код verilog, чтобы посмотреть, как он...

Larry / 26 апреля 2019
0 голосов
0 ответов

У меня есть файл verilog, который я хочу преобразовать в AIG (и граф инвертора), и я попытался с...

amro_ghoneim / 19 апреля 2019
0 голосов
1 ответ

Я пытаюсь использовать Yosys для создания файла edif, который затем использую в сценариях Vivado...

MattHusz / 09 февраля 2019
0 голосов
0 ответов

Почему следующий код умножения verilog не умножается? Кроме того, для отладки кода мне нужен доступ...

kevin998x / 09 февраля 2019
0 голосов
2 ответов

Я работаю над (на первый взгляд) простым проектом в качестве учебного упражнения: подключение...

Viking / 24 декабря 2018
0 голосов
1 ответ

Я пытаюсь присвоить начальное значение FF при сбросе.Начальное значение является входом в схему.В...

Siam / 26 октября 2018
0 голосов
1 ответ

Я пытался использовать yosys исключительно для визуализации в сочетании с https://github

jackana3 / 09 октября 2018
0 голосов
0 ответов

Я работаю над анализом структуры списка соединений уровня шлюза.Я хочу извлечь две информации из...

Hoo / 24 сентября 2018
0 голосов
1 ответ

Не знаю, соответствует ли он стандарту Verilog-2005, но мне удалось скомпилировать следующий код с...

FabienM / 30 августа 2018
0 голосов
1 ответ

Я новичок в Yosys и пытаюсь использовать YosysJS для генерации описания json входного файла verilog

Aidar / 18 мая 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...