Вопросы с тегом синтез - PullRequest

Вопросы с тегом синтез

0 голосов
1 ответ

Мне было интересно, как логический синтезатор интерпретирует значение условного сброса. Например,...

qantik / 11 октября 2019
0 голосов
0 ответов

Я указал группу путей в моем скрипте синтеза следующим образом group_path -name E0TO -to [get_pins...

Y R / 04 июля 2019
2 голосов
1 ответ

У меня есть следующий код: typedef enum logic [1:0] { CMD1 = 2'b1?, CMD2 = 2'b01, CMD3 =...

jkang / 27 июня 2019
0 голосов
0 ответов

У меня возникли проблемы с указателями, используемыми в качестве входных аргументов для функции...

Hooman / 05 июня 2019
3 голосов
2 ответов

У меня есть эта функция "cost_compare", которую я хотел бы разгрузить на FPGA для некоторых...

Hooman / 31 мая 2019
1 голос
0 ответов

У меня есть дизайн с защелкой в ​​качестве памяти для хранения буфера данных. Как мне ограничить...

kelvin / 24 мая 2019
0 голосов
2 ответов

У меня есть эта картинка: http://bourt.com/color/slide.html. Если щелкнуть левой кнопкой мыши по...

Alex / 20 мая 2019
0 голосов
0 ответов

Ради документации я объявляю и использую чрезмерное количество сигнальных объявлений. Язык nML (но...

Daniel / 29 апреля 2019
0 голосов
1 ответ

Я обычно не использую состояния inout или high impedance в verilog для синтеза (при условии, что...

serpixo / 25 апреля 2019
0 голосов
1 ответ

У меня есть некоторый код, похожий на этот (пример ниже): genvar x; genvar y; generate for (y =...

Physco111 / 22 апреля 2019
0 голосов
1 ответ

Кажется, что узлы осциллятора в API имеют стереофонический выход.Есть ли способ отстройки частоты...

bryc / 21 апреля 2019
0 голосов
0 ответов

Я пытался синтезировать код VHDL, который идеально имитирует в Active HDL, но я получаю следующую...

Y. Gopee / 16 апреля 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...