Вопросы с тегом синтез - PullRequest

Вопросы с тегом синтез

2 голосов
1 ответ

Я написал код в verilog, который циклически перебирает активные каналы. Идея состоит в том, чтобы...

Alexander Tarnavsky / 17 марта 2019
0 голосов
1 ответ

Вот фрагмент кода с 3 различными операторами всегда, который должен быть синтезируемым. Проблемы со...

user9906612 / 12 марта 2019
0 голосов
1 ответ

Ранее я использовал стандартную библиотеку ячеек TSMC 180nm, и вот ее структура каталогов: В...

chaotetung / 03 марта 2019
0 голосов
1 ответ

Я начал Verilog не так давно и застрял с некоторыми условными утверждениями в моем сумматоре.У меня...

E. Cheng / 24 февраля 2019
0 голосов
0 ответов

Я читал об инструментах LEC (Cadence Conformal Tool), и в руководстве пользователя говорится, что...

fatima hijazi / 20 февраля 2019
0 голосов
1 ответ

Ниже приведен мой простой код: void MyFunc(float input[10], float output[10]) { #pragma HLS...

Yunlong Wang / 19 февраля 2019
0 голосов
0 ответов

Я хочу оценить множитель-накопитель с плавающей указкой половинной точности.Поэтому я решил...

dreamcacao / 07 февраля 2019
0 голосов
0 ответов

Когда я запускаю Design Compiler для синтеза, я обнаружил предупреждение, что «Design XXXXXX...

Yong Chen / 23 января 2019
0 голосов
1 ответ

У меня есть код RTL. Сначала я синтезировал схему за 10 нс и запустил симуляцию после синтеза....

Kung Chu King / 23 января 2019
0 голосов
1 ответ

У меня проблемы с пониманием следующего кода (bimpy.v), который выполняет операцию 2-разрядного...

kevin998x / 18 января 2019
0 голосов
1 ответ

Я использую Verilog для дизайна и использую целое число, которое переопределяется каждый раз, когда...

user2996871 / 17 января 2019
0 голосов
1 ответ

Я пытаюсь понять, сколько переворотов этот код произведет при синтезе? У меня есть 2 теста с...

al01 / 11 января 2019
0 голосов
1 ответ

У меня есть два идентичных (с помощью моделирования) процесса триггера в verilog. Первое - это...

J. Doe / 08 января 2019
0 голосов
2 ответов

Стили кодирования синтеза будут внедряться в будущем? Или стандарт IEEE-1076.6-200X позволяет...

user2599152 / 08 января 2019
0 голосов
2 ответов

оператор не является синтезируемым, так как он не содержит своего значения в условии NOT...

user2599152 / 07 января 2019
0 голосов
2 ответов

Что касается Vivado, как можно проверить конструкцию, скажем, общий сценарий умножения матриц.Если...

Arslan Majid / 22 декабря 2018
0 голосов
1 ответ

Я пытаюсь сделать синтез ASIC для процессора Rocket, который написан Chisel.Он автоматически...

sungbo / 19 декабря 2018
0 голосов
1 ответ

вот как выглядит мой код ... (я знаю, что он не компилируется, это просто псевдокод.) signal...

matzeBurger / 11 декабря 2018
0 голосов
1 ответ

Когда я пытаюсь использовать конструкцию, подобную этой, мой синтез не удался `define defLOMIC 0...

Vlad / 06 декабря 2018
0 голосов
1 ответ

В настоящее время я работаю над дизайном процессора, в котором я хочу сравнить различные...

programonkey / 29 ноября 2018
0 голосов
0 ответов

Я новичок в VHDL и изучаю его сам. На практике я выполняю обработку данных (вычисление средних...

Dan / 08 ноября 2018
0 голосов
1 ответ

Я пытаюсь синтезировать Rocket-Chip на Vivado. Мне удалось запустить симуляцию на Вивадо и получить...

hitoswal / 08 ноября 2018
0 голосов
2 ответов

Допустим, у меня есть некоторая логика, зависящая от трех сигналов a, b и c для назначения...

Charles Clayton / 17 октября 2018
0 голосов
0 ответов

Хорошо, я проектировал модуль, который пытается зашифровать 128-битное сообщение, используя режим...

Ahmed Fakhry / 10 сентября 2018
0 голосов
0 ответов

Я пытаюсь синтезировать некоторую логику RTL с помощью Design Compiler. Как я знаю, DC использует...

greeting / 02 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...