Вопросы с тегом синтез - PullRequest

Вопросы с тегом синтез

0 голосов
0 ответов

Я хочу иметь два комбинационных процесса, управляющих одним сигналом в проводном или в стиле....

Juergen / 26 июня 2018
0 голосов
1 ответ

Я пытаюсь выполнить непрерывный перевод в Verilog от некоторых значений серого кода до некоторых...

Mindigo / 08 июня 2018
0 голосов
2 ответов

У меня проблемы с использованием внутреннего генератора для решетки ICE5LP4K.Согласно Приложению к...

Rob / 07 июня 2018
0 голосов
1 ответ

Я получаю предупреждение о том, что [Synth 8-3332] Последовательный элемент (\ i_data_1_vect_1_reg...

kuladeepsai reddy / 04 июня 2018
0 голосов
1 ответ

У меня есть два часа, clk0 и clk1.Я хочу, чтобы счетчик рассчитывал на позицию clk0, но сбрасывал...

Harshavardhan Ramanna / 01 июня 2018
0 голосов
1 ответ

Мой вопрос касается первого состояния, используемого в синтезированном автомате. Я работаю с ПЛИС...

Ron / 21 мая 2018
0 голосов
1 ответ

Извините заранее, потому что я новичок в Vivado HLS. В моем следующем коде я хочу синтезировать его...

Mah / 15 мая 2018
0 голосов
1 ответ

У меня проблема с синтезом моей программы VHDL (конечный автомат);ошибка: [Synth 8-97] индекс...

Ivan / 15 мая 2018
0 голосов
2 ответов

Используя первый фрагмент кода, я получаю эту ошибку, что куча моих сигналов не выводила пинов.Я...

Chiorean Tudor / 10 мая 2018
0 голосов
1 ответ
0 голосов
1 ответ

Я пытаюсь использовать встроенные дифференциальные часы для моего кода verilog. Ниже приведены...

Saloni Raina / 09 мая 2018
0 голосов
1 ответ

Есть ли эффективный способ узнать, является ли данное число кратным 100, используя только побитовые...

e271p314 / 07 мая 2018
0 голосов
1 ответ

У меня возникают проблемы с получением моего кода, который является правильным кодом VHDL (выпуск...

Clement / 26 апреля 2018
4 голосов
2 ответов

Я использую следующий код, который сгенерирует wav-файл, содержащий тональный сигнал с частотой 440...

Alex Coplan / 21 марта 2012
34 голосов
7 ответов

Можно ли заставить Python генерировать простой звук, похожий на синусоидальную волну? Есть ли...

Alex Coplan / 19 марта 2012
1 голос
1 ответ

Как предоставить разрешения на чтение / запись во внутреннее хранилище моего приложения для...

Jais Joy / 29 января 2012
7 голосов
4 ответов

Я начинаю писать мягкий синтезатор со своеобразной характеристикой: у осцилляторов будет ручка...

Rafael Vega / 27 января 2012
4 голосов
4 ответов

Есть ли возможность генерировать звуки в C #? Я имею в виду не просто звуковой сигнал или открытие...

Mr.D / 03 января 2012
7 голосов
3 ответов

На основании прочитанного я разработал алгоритм синтеза FM-звука.Я не уверен, правильно ли я это...

Matthew Mitchell / 23 декабря 2011
4 голосов
1 ответ

Привет, я программирую Java на Windows и очень плохо знаком с работой с MIDI-интерфейсами. Мне...

Skip Adam / 25 ноября 2011
4 голосов
3 ответов

Предположим, у меня есть такой код множителя, module multiply( output [63:0] result, input [31:0] a...

e19293001 / 17 ноября 2011
0 голосов
1 ответ

Я работаю над математически смоделированным фортепианным синтезатором.Прямо сейчас я использую...

Alex Taradov / 15 ноября 2011
5 голосов
3 ответов

Существует два популярных способа кодирования конечного автомата в VHDL: один процесс или два...

Philippe / 25 октября 2011
0 голосов
3 ответов

Это вопрос, выпущенный Verilog.Я работаю с XILINX ISE в качестве среды разработки. Я пытаюсь...

Tibio / 07 сентября 2011
1 голос
2 ответов

Мне нравится запускать Tcl-Script в Synplify.В зависимости от версии Synplify, он должен делать...

Kai___ / 10 августа 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...