Вопросы с тегом ice40 - PullRequest

Вопросы с тегом ice40

1 голос
1 ответ
0 голосов
1 ответ

Я хотел бы написать verilog, который можно синтезировать с использованием yosys (предпочтительно)...

Venkat / 25 октября 2019
0 голосов
1 ответ

Понятия не имею об использовании памяти SB_RAM2048x2 для устройств iCE40. Я нахожу, что iceimage

shrikant Charthal / 18 октября 2019
0 голосов
1 ответ

У меня Lattice iCE40 HX8K FPGA в 256 BGA корпусах.Я хочу использовать один из доступных модулей PLL...

Vasil / 01 июня 2019
0 голосов
1 ответ

Я использовал следующий код для настройки fpga ICE40HX1K-VQ100 для ввода внешние часы: SB_GB_IO...

Steve Brown / 24 апреля 2019
0 голосов
1 ответ

Я пытаюсь создать тактовый сигнал 1 Гц на Lattice ICE40 FPGA.Я пишу свой код в Verilog и использую...

PetSven / 22 января 2019
0 голосов
2 ответов

Я работаю над (на первый взгляд) простым проектом в качестве учебного упражнения: подключение...

Viking / 24 декабря 2018
0 голосов
0 ответов

У меня есть мобильная платформа разработки Lattice iCE40 UltraPlus, новый продукт, заказанный у...

santvasu / 14 декабря 2018
0 голосов
0 ответов

В настоящее время я работаю над проектом с использованием маломощной FPGA Ice40 от Lattice...

Chris PWKoe / 30 августа 2018
0 голосов
1 ответ

Мой вопрос касается первого состояния, используемого в синтезированном автомате. Я работаю с ПЛИС...

Ron / 21 мая 2018
0 голосов
1 ответ

У меня проблемы с использованием примитива SB_RGBA_DRV, предоставленного для fpga Lattice ICE40UP....

N.Atema / 10 мая 2018
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...