Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

1 голос
1 ответ

Я хочу смоделировать внешнее подтягивание в моем интерфейсе. interface inter(); wire a; wire a_out;...

Karan Shah / 27 сентября 2019
0 голосов
2 ответов

Выход этого исполняемого файла равен x, пока вы не удалите d из назначения c.Выход ххх х.Когда d...

viraj / 26 сентября 2019
0 голосов
0 ответов

Объявите пользовательский тип данных «colors_e» с возможными значениями - КРАСНЫЙ, ЗЕЛЕНЫЙ, СИНИЙ,...

Pradyumna Panda / 26 сентября 2019
0 голосов
1 ответ

Я хочу иметь возможность получать имена для типов в перечислении без необходимости фактически...

Hellzzar / 25 сентября 2019
0 голосов
0 ответов

Я хочу реализовать 2 модуля: один - 20-битный сумматор, а другой - 20-битный регистр.Сумматор имеет...

adi_226 / 24 сентября 2019
0 голосов
1 ответ

У меня есть стенд для тестирования Modelsim в System Verilog, который тестирует модуль верхнего...

axk / 19 сентября 2019
0 голосов
0 ответов

Я не могу получить этот кусок кода для компиляции (2 ошибки).Он работал нормально с Always @ *, но...

cold_duck_time / 12 июля 2019
0 голосов
2 ответов

Может кто-нибудь объяснить, почему real_OUT не изменяется от 0 до 3.3 в приведенном ниже коде?

sanforyou / 11 июля 2019
0 голосов
0 ответов

Я пытаюсь реализовать поддержку параметризованного интерфейса, как описано здесь . Я построил...

0 голосов
3 ответов

Пожалуйста, смотрите мой код испытательного стенда Verilog ниже.На самом деле я хотел заменить все...

TRoa / 09 июля 2019
0 голосов
1 ответ

Я пытаюсь импортировать некоторую C-функцию, которая генерирует массив в SystemVerilog. Вот код:...

0 голосов
1 ответ

Блокирует ли управление событиями Verilog выполнение процедуры до наступления события? Рассмотрим...

user3124390 / 23 июня 2019
0 голосов
1 ответ

Мне было интересно, в чем разница между ожиданием установки флага с помощью оператора ожидания или...

cryptoKay / 22 июня 2019
0 голосов
0 ответов

У меня есть большая модель с RTL (verilog) и кодом проверки (system-verilog). Я строю модель с "-cm...

Mohan / 20 июня 2019
0 голосов
2 ответов

В моем коде есть выражение, как в приведенном ниже коде.Я пишу этот код в Modelsim, и это модуль...

TRoa / 13 июня 2019
0 голосов
1 ответ

В моем коде есть какая-то ошибка, но я не могу найти ничего плохого в своем коде. EDA Playground...

full_adder0 / 12 июня 2019
0 голосов
1 ответ

В стандарте Verilog четко указано, что между проволокой и трипом нет функциональной разницы

user3124390 / 12 июня 2019
0 голосов
2 ответов

Рассмотрим приведенный ниже пример: module test; reg a; initial begin a = 1'b0; a <=...

user3124390 / 09 июня 2019
0 голосов
1 ответ

У меня всегда есть блок в verilog, как показано ниже.Все входные сигналы внутри блока всегда...

sanforyou / 07 июня 2019
0 голосов
3 ответов

Когда я делаю сложение или вычитание в Verilog, некоторые компиляторы выдают предупреждение. //...

csehydrogen / 05 июня 2019
0 голосов
0 ответов

У меня есть следующее свойство в systemverilog: property rule_1(trig, prop, clk, rst=1'b0);...

user9870 / 05 июня 2019
0 голосов
2 ответов

У меня есть массив, скажем, 16 бит (15: 0).У меня есть зарегистрированная 4-битная переменная,...

Suresh S / 04 июня 2019
0 голосов
1 ответ

Привет, почему симуляция VCS допускает некоторые назначения из 2-х разных всегда блоков, в то время...

TheSprintingEngineer / 30 мая 2019
2 голосов
1 ответ

Когда я пишу универсальный модуль, я часто сталкиваюсь с регистром ширины 0 бит. module test #(...

csehydrogen / 28 мая 2019
0 голосов
1 ответ

Я использую QuestaSim 70.7b;И я не могу изменить высоту сигнала формы сигнала. Я перепробовал много...

delkov / 25 мая 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...