Ваш тестовый стенд содержит следующие строки:
forever
#5 clk = ~clk;
Этот код будет продолжать выполнять вечно .(Подсказка в синтаксисе.) Следовательно, ваша симуляция никогда не остановится.Максимальное время пробега EDA Playground составляет 1 минуту, поэтому ваша симуляция будет убита после этого.Отсюда и ваше сообщение об ошибке.
Вам нужно остановить выполнение этого кода, когда вы закончите с ним.Вам нужно что-то вроде этого:
reg clk, clear, go;
...
initial
begin
go = 1'b1;
...
while (go)
#5 clk = ~clk;
end
initial begin
$dumpfile("systolic_array1.vcd");
$dumpvars(1,systolic_array);
#10
...
go = 1'b0;
end
https://www.edaplayground.com/x/4BCg