Реализация 20-битного сумматора обратной связи с регистром (D-триггер) в поведенческом дизайне? - PullRequest
0 голосов
/ 24 сентября 2019

Я хочу реализовать 2 модуля: один - 20-битный сумматор, а другой - 20-битный регистр.Сумматор имеет два входа: 20-битное значение и обратную связь с регистром.Выход сумматора подключен к входу регистра.

С моей проблемой я сталкиваюсь, когда сбрасывается регистр сброса, на выходе регистра высокий импеданс.Ниже приведены мой модуль сумматора и регистрации и их описание.Все это является частью большой системы.

//instantiations
addr add_m(.mult_out(mult_out), .add_out(add_out), .f_out(f));
reg_synrst #(20)reg_f(.d(add_out),.q(f),.clk(clk),.reset(reset));

module reg_synrst(d,q,clk,reset);
   parameter WIDTH = 8;
   input clk,reset;
   input [WIDTH-1:0] d;
   output logic [WIDTH-1:0] q;

   always_ff @(posedge clk) begin
      if(reset == 1)
         q<=0;
      else
         q<=d;
   end
endmodule 

module addr(mult_out, add_out, f_out);
   input [15:0] mult_out;
   output logic [19:0] add_out;
   input f_out;
   assign  add_out = f_out + mult_out;
endmodule
...