Ошибка подтверждения утверждения Попытка скомпилировать файлы SV с помощью Icarus - PullRequest
0 голосов
/ 09 мая 2019

Я попытался скомпилировать модуль дизайна mux.sv с его испытательным стендом, используя Icarus, но я получаю следующую ошибку vvp: array.cc:906: void compile_var2_array(char*, char*, int, int, int, int, bool): Assertion `0' failed. Aborted (core dumped).

Ниже приведен фрагмент кода испытательного стенда

`include "mux.sv"
module tb_mux();

    bit a0, a1, a2, d0, d1, d2, d3, d4, d5, d6, d7, out;
    // read
    bit expectedOutput;

    int passedFile;
    integer i;
    bit [11:0] testvector[2047:0];

    // design unit under test instantiation
    mux dut(.out(out), .a0(a0), .a1(a1), .a2(a2), .d0(d0), .d1(d1), .d2(d2), .d3(d3), .d4(d4), .d5(d5), .d6(d6), .d7(d7));
initial begin
            $readmemb("testcases", testvector);
            passedFile = $fopen("passed.txt", "w");
            if(passedFile)
                $display("file opened");
            else
                $display("couldn't open the file");
end

task assertOutput;
    begin
    int unsigned cases = 0;
    for (i = 0; i< 2048; i++)begin
        {a0, a1, a2, d0, d1, d2, d3, d4, d5, d6, d7, expectedOutput} = testvector[i];

       #10;
           if(a0 == 1'b0 && a1 == 1'b0 && a2 == 1'b0 && out == expectedOutput)
                cases = cases + 1;

           else if(a0 == 1'b0 && a1 == 1'b0 && a2 == 1'b1 && out == expectedOutput)
               cases = cases + 1;

           else if(a0 == 1'b0 && a1 == 1'b1 && a2 == 1'b0 && out == expectedOutput)
               cases = cases + 1;

           else  $display(0);
    end
    $fdisplay(passedFile, "%d", cases);
end
endtask

endmodule

Что может быть не так в моем тестовом стенде, чтобы он выдал эту ошибку?

1 Ответ

0 голосов
/ 10 мая 2019

Мне пришлось изменить битовый тип данных на логику, чтобы он работал с Icarus.

...