Кодирование будки не работает, симуляция включена - PullRequest
0 голосов
/ 17 февраля 2012

Я пишу код Бута для умножения массива.Это один из модулей:

module add_input (M,pos,neg,C);
parameter n=8;

input  [n-1:0]M;
input  pos,neg;

output [2*n-1:0]C;
reg [2*n-1:0]C;

integer k;

always @ (*)
begin
 for (k=0;k<=n-1;k=k+1)
 begin
   C[k]=(pos& (M[k]))|((~M[k])&neg);
 end
   C[2*n-1:n]={n{C[n-1]}};
end

endmodule

Моделирование испытательного стенда для этого модуля в порядке:

занятый кот http://img39.imageshack.us/img39/3444/74546414.jpg!

Однако, когдаЯ поместил этот модуль в дизайн верхнего уровня, я не вижу никакого вывода из модуля add_input.Действительно интересно почему, отлаживал это всю ночь.

Код:

module Array_Mutiplier (M,Q,outcome, t_pos, t_neg,t_Y1);
parameter n=8;
parameter m=16;
input [n-1:0]M,Q;
output [m-1:0]outcome;

//-----------------------------------------------------------
output [n-1:0] t_pos, t_neg;
output [m-1:0] t_Y1;
//-----------------------------------------------------------
//first part, got the booth code
wire [n-1:0]negative,positive;
booth_encode BE(Q,positive,negative);

//get the Y for the full adder
wire [m-1:0]Y1;
add_input row_1 (M,positive[0],negative[0],Y1);
wire [2*n-1:0]Y2;
add_input row_2 (M,positive[1],negative[1],Y2);
wire [2*n-1:0]Y3;
add_input row_3 (M,positive[2],negative[2],Y3);
wire [2*n-1:0]Y4;
add_input row_4 (M,positive[3],negative[3],Y4);
wire [2*n-1:0]Y5;
add_input row_5 (M,positive[4],negative[4],Y5);
wire [2*n-1:0]Y6;
add_input row_6 (M,positive[5],negative[5],Y6);
wire [2*n-1:0]Y7;
add_input row_7 (M,positive[6],negative[6],Y7);
wire [2*n-1:0]Y8;
add_input row_8 (M,positive[7],negative[7],Y8);


assign t_pos=positive;
assign t_neg=negative;
assign t_Y1=Y1;

endmodule

занятый кот http://img855.imageshack.us/img855/3361/28395154.png!

На основе моделирования вы можетевидно, что кодировщик стенда работает нормально, но почему выходной сигнал t_Y1 всегда равен 0?

1 Ответ

1 голос
/ 17 февраля 2012

Из вашего второго сигнала t_pos [0] и t_neg [0] оба равны нулю, что означает, что положительный [0] и отрицательный [0] также равны нулю.Внутри вашего экземпляра row_1 pos и neg равны нулю, что означает, что все биты C [7: 0] равны нулю ((pos& (M[k]))|((~M[k])&neg) оценивается как 0).Поскольку C [7] = 0, это означает, что C [15: 8] = 0, и, следовательно, C [15: 0] = 0, а Y1 и t_Y1 также равны нулю.

...