Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

0 голосов
1 ответ

У меня в тестовом стенде запущен процесс always, который вызывает $urandom_range() Можно ли...

Moberg / 15 марта 2019
0 голосов
0 ответов

В настоящее время я работаю над проектом класса для создания торгового автомата FSM с...

eagerbeaverz / 13 марта 2019
1 голос
2 ответов

Этот вопрос может быть применим ко всем / большинству объектно-ориентированных языков...

Timothy Grant / 09 марта 2019
0 голосов
2 ответов

Учитывая следующее объявление модуля: module ( myinterface.mymodport mybus, ... ); И предполагая,...

2HDS1X8B / 27 февраля 2019
0 голосов
1 ответ

Я понял, что комплимент 2 в одном утверждении verilog (как видно из '' ниже) дает неправильный...

O.K. / 24 февраля 2019
0 голосов
1 ответ

Я получаю ошибку при создании экземпляра функции exp в модуле активации.Я не могу понять ошибку в...

Dhaneshwar Surya / 21 февраля 2019
0 голосов
3 ответов

Я хочу расширить каждый бит n раз.Например, // n = 2 5'b10101 -> 10'b1100110011 // n =...

csehydrogen / 20 февраля 2019
0 голосов
1 ответ

Я пытаюсь попрактиковаться в SystemVerilog и пытаюсь реализовать ALU (Арифметическая логическая...

cyber101 / 17 февраля 2019
0 голосов
1 ответ

Следующий исходный код verilog и / или testbench прекрасно работают на коммерческих симуляторах,...

kevin998x / 12 февраля 2019
0 голосов
1 ответ

В моем случае, если значение бита равно «1», тогда мое ограничение будет иметь больший вес для «1»,...

Sreenath / 10 февраля 2019
0 голосов
1 ответ

Вот код. module temp(); bit a; bit w_inter_nonblocking, x_inter_blocking, y_intra_blocking,...

Karan Shah / 10 февраля 2019
0 голосов
1 ответ

Сигнал driver_a переназначается в блоке Always обратно на 0, но почему блок Always не активируется...

TheSprintingEngineer / 09 февраля 2019
0 голосов
0 ответов

Почему следующий код умножения verilog не умножается? Кроме того, для отладки кода мне нужен доступ...

kevin998x / 09 февраля 2019
0 голосов
1 ответ

Если у меня есть следующий код Verilog: //test.v `ifdef V1 {code block 1} `else {code block 2}...

dbanas / 09 февраля 2019
0 голосов
1 ответ

В UVM я хочу ограничить массив так, чтобы я мог зафиксировать число единиц в массиве до 3, я...

Grace90 / 08 февраля 2019
0 голосов
1 ответ

Правильна ли эта логика в отношении рекомендаций системы Verilog? always_ff (posedge CLK) begin If...

Fregata / 02 февраля 2019
0 голосов
1 ответ

У меня есть следующие структуры: typedef struct packed { logic vld; logic [`ADDR_RNG] addr; logic...

jkang / 01 февраля 2019
0 голосов
1 ответ

Я борюсь с ошибкой «Диапазон должен быть постоянным», когда я думаю, что это так! Операция, которую...

Stefano / 31 января 2019
0 голосов
1 ответ

Код Verilog, показывающий это предупреждение: encoder.v в строке ($ readmemb ("I: \ my_data_x.txt",...

Ankush Giri / 29 января 2019
0 голосов
2 ответов

ли буквальное замедление состояний перечисления для конечного автомата, гарантирует ли то же самое...

Mel / 28 января 2019
0 голосов
1 ответ

Я пытаюсь создать битовый файл для аппаратного дизайна, который включает в себя HDL и IP-ядра...

Jonathan Rainer / 25 января 2019
0 голосов
1 ответ

Я пытаюсь вызвать задачи, определенные в файле интерфейса, из файла testbench.задача определена как...

trala / 22 января 2019
0 голосов
0 ответов

Я отослал «наиболее часто встречающийся элемент в массиве в C-коде» к решению с помощью verilog, но...

yonghua / 18 января 2019
0 голосов
2 ответов

Вот фрагмент кода: Я пытаюсь загрузить текстовый файл (256 строк с 32 двоичными значениями) в...

Niblet / 15 января 2019
0 голосов
1 ответ

Я хочу реализовать свой проект risc-v с одним циклом на fpga. после моделирования моего кода все...

Erfan Pourmahdi Ebrahimi / 11 января 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...