Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

0 голосов
1 ответ

Я столкнулся с проблемой при попытке написать это утверждение.Я пытался утверждать сценарий, что...

Shuaiyu Jiang / 30 мая 2018
0 голосов
1 ответ

Разные операторы начала и конца выполняются одновременно в verilog? Вот код: <pre> //Sample...

BEWARB / 17 мая 2018
0 голосов
1 ответ

Предположим, у меня есть строка переменной длины, как показано ниже: Write <Address>...

Vishwasu Deshpande / 08 мая 2018
0 голосов
1 ответ

Если мой тактовый сигнал переключается каждые 40 нс, но я хочу, чтобы он начинал переключаться...

qwertyuiop / 04 мая 2018
0 голосов
1 ответ

Я пытаюсь преобразовать ниже упакованные строки массива в текстовом файле в распакованный,...

sanforyou / 02 мая 2018
0 голосов
2 ответов

Я хочу написать ограничение, чтобы удостовериться, что r_addr разрешено только в том случае, если...

Yong Liu / 01 мая 2018
0 голосов
1 ответ

Я пытаюсь разработать модуль, который может иметь один из двух интерфейсов в качестве портов....

igon / 30 апреля 2018
0 голосов
1 ответ

Если я уменьшу количество битов после арифметического сдвига вправо в verilog, получу ли я...

qwertyuiop / 30 апреля 2018
0 голосов
0 ответов

У меня входной поток данных 4096 бит. Мне нужно получить это в массиве из 512 строк, каждая из 8...

Shravan Shah / 30 апреля 2018
0 голосов
1 ответ

Я новичок в программировании SystemVerilog. У меня есть файл с именем «input.in», и он содержит...

Shravan Shah / 30 апреля 2018
0 голосов
1 ответ

Можно ли сделать полностью скомпилированную и автономную версию модуля RTL, как снимок в терминах...

Ginty / 27 апреля 2018
6 голосов
3 ответов

Я читаю какой-то сторонний Verilog, и нашел это: function [31:0] factorial; input [3:0] operand;...

Randomblue / 02 апреля 2012
1 голос
2 ответов

Я бы хотел применить переопределение командной строки к объекту ovm_sequence, например:...

Victor Lyuboslavsky / 13 марта 2012
1 голос
2 ответов

Я бы хотел создать const объект внутри моего класса SystemVerilog. Поддерживает ли SystemVerilog...

Victor Lyuboslavsky / 06 марта 2012
10 голосов
2 ответов

Я пытаюсь создать многоступенчатый компаратор в verilog, и я не могу понять, как увеличить...

Adam / 05 марта 2012
2 голосов
6 ответов

Я хотел бы удалить объект ovm (и его дочерние элементы), чтобы я мог воссоздать его с разными...

Victor Lyuboslavsky / 01 марта 2012
1 голос
4 ответов

Я занимаюсь разработкой SystemVerilog монитора, который расширяет ovm_monitor , и я хотел бы знать,...

Victor Lyuboslavsky / 29 февраля 2012
3 голосов
1 ответ

Я недавно начал использовать обильные ctags и emacs для кодирования verilog & system verilog и...

Pulimon / 18 февраля 2012
0 голосов
1 ответ

Я пишу код Бута для умножения массива.Это один из модулей: module add_input (M,pos,neg,C);...

fiftyplus / 17 февраля 2012
3 голосов
6 ответов

Я понимаю, что вы можете объявить строку в тестовом стенде Verilog следующим образом: reg [8*14:1]...

Kevin Vermeer / 27 января 2012
9 голосов
2 ответов

Есть ли разница между @(posedge Clk); a<= 1'b1; и @(posedge Clk) a<= 1'b1; Обратите...

Pulimon / 18 января 2012
1 голос
1 ответ

module exmaple(input a, b, input in[2:0], output d, e, output out[5:0]) Я новичок в Verilog и...

Strawberry / 19 декабря 2011
4 голосов
2 ответов

Я хочу, чтобы это было так: generate for( i=0 ; i<16 ; i=i+1 ) begin: always @(posedge clk)...

Sohrab / 18 декабря 2011
2 голосов
1 ответ

В моей программе Bench у меня есть что-то вроде этого (упрощенно): // bench.sv program tb (input...

Robert Martin / 11 октября 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...