Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

0 голосов
1 ответ

Я написал тестовую среду axi4_stream.Это хорошо работает, но теперь мне нужно протестировать дизайн...

2 голосов
1 ответ

У меня есть модуль, который содержит сетку подмодулей nxn, где каждый подмодуль подключен к своим 4...

Phil / 22 мая 2019
0 голосов
1 ответ

У меня есть модуль проектирования (частично реализованный семисегментный дисплей) с оператором case...

user2987773 / 21 мая 2019
0 голосов
1 ответ

У меня есть несколько параметризованных Verilog, и мне нужно динамически генерировать имена модулей...

BlindScience / 10 мая 2019
0 голосов
1 ответ

Я попытался скомпилировать модуль дизайна mux.sv с его испытательным стендом, используя Icarus, но...

user2987773 / 09 мая 2019
0 голосов
1 ответ

У меня есть системный код verilog. Этот код verilog должен запускать скрипт оболочки, а этот скрипт...

daffodil / 06 мая 2019
0 голосов
1 ответ

Error: : (vlog-7027) Hierarchical reference not allowed from within a package. Есть ли системная...

Jean / 03 мая 2019
0 голосов
1 ответ

Я пытался найти способ захвата ввода с клавиатуры во время симуляции моего кода Verilog. Это вообще...

Eugene Wolf / 23 апреля 2019
0 голосов
1 ответ

Я хочу иметь возможность передавать информацию из System Verilog testbench в программу на c ++...

user2987773 / 20 апреля 2019
0 голосов
1 ответ

Возможно ли это?Get_type_name является строкой.Разве я не могу иметь массив int и использовать имя...

newton44 / 19 апреля 2019
0 голосов
0 ответов

Я пытаюсь получить доступ / распечатать отдельные элементы вложенного ассоциативного массива, вот...

Julito Sanchis / 17 апреля 2019
0 голосов
1 ответ

Как объявить инициализацию вложенного ассоциативного массива в System Verilog? /* Creating an...

Julito Sanchis / 16 апреля 2019
0 голосов
1 ответ

Мне нужно настроить функцию, которая определяет, существует ли совпадение в двумерном массиве...

T100 / 16 апреля 2019
0 голосов
2 ответов

Можно перебрать все аргументы, которые я передал системной задаче verilog или функции, такой как...

fieq.fikri / 12 апреля 2019
0 голосов
1 ответ

Скажем, у меня есть модуль со входами N битов и один бит, который я создаю, например, так: module...

Charles Clayton / 10 апреля 2019
0 голосов
1 ответ

Я написал свойство утверждения.Я хочу добавить задержку между выборкой и проверкой действий. В...

Jigar Vaidya / 10 апреля 2019
1 голос
2 ответов

Мне нужно написать класс транзакции так, чтобы у каждого пакета было случайное поле SA [7: 0], поле...

Grace90 / 09 апреля 2019
0 голосов
1 ответ

Я пытаюсь построить кодировщик статического приоритета, например, 0011101010 ------> 0010000000...

PrashantYadav / 08 апреля 2019
0 голосов
1 ответ

Я пытаюсь записать ограничение для пакета данных в системном verilog. У меня есть пакет данных,...

Grace90 / 05 апреля 2019
0 голосов
1 ответ

Я хотел бы написать тест для модуля FPU и не могу найти способ изменить режим округления...

Alexandr Bolotnikov / 01 апреля 2019
0 голосов
2 ответов

У меня есть несколько блоков, разработанных другим человеком (core.sv и другие), которые я не могу...

Maria No Dato / 28 марта 2019
1 голос
3 ответов

Я знаю, что блок всегда будет срабатывать при изменении любого из элементов в своем списке...

user281270 / 28 марта 2019
3 голосов
2 ответов

Я всегда думал, что обозначения шины аннотируются так: input bus[MSB:LSB] , где MSB> = LSB. Но...

Hemant Bhargava / 26 марта 2019
0 голосов
2 ответов

предположим, что в моем тестовом стенде у меня были следующие сигналы top.module0.expect top

Jingbo Zhang / 22 марта 2019
1 голос
1 ответ

Я пытался создать большой пакет, который экспортирует подпакеты, чтобы не создавать зависимости в...

Anda Hong / 22 марта 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...