System Verilog Вложенный Ассоциативный Массив - PullRequest
0 голосов
/ 16 апреля 2019

Как объявить инициализацию вложенного ассоциативного массива в System Verilog?

/*
  Creating an associative array(AA) called timings such that
  each key contains an AA with a list of relevant key value pairs
*/
typedef string timingObj [string];
timingObj timings [string] = {"A": {"B" : "C"}, "X": {"Y" : "Z"} };
//string timings [timingObj] = {"A": {"B" : "C"}, "X": {"Y" : "Z"} }; //Same error

timingObj t;
$cast(t, timings["A"]); // t = {"B" : "C"}
$display("%s", timings["A"]);
$display("%s", t["B"]);

Приведенный выше код приводит к ошибке компилятора

"Syntax error. Unexpected token: }. Expected tokens: ':'." "testbench.sv" 2
"Syntax error. Unexpected token: $cast[_SYSTEM_CAST]. Expected tokens: ';' , 'checker' , 'function' , 'task' , 'timeprecision' ... ." "testbench.sv" 6  6

1 Ответ

2 голосов
/ 16 апреля 2019

Шаблоны назначения для ассоциативных массивов нуждаются в отметке '{} спереди, чтобы отличить ее от конкатенации {}.В некоторых случаях это неоднозначно (но не здесь).Так что пиши

timingObj timings [string] = '{"A": '{"B" : "C"}, "X": '{"Y" : "Z"} };
...