Вопросы с тегом система Verilog - PullRequest

Вопросы с тегом система Verilog

0 голосов
1 ответ

Я новичок в Verilog, поэтому прошу прощения за мой вопрос не имеет смысла, но я пытался настроить...

Jack Kasbrack / 06 ноября 2018
0 голосов
1 ответ

Предположим, у меня есть логика ввода, такая как: x = 0, y= 1,z = 0,k = 1; У меня есть вывод:...

Crazy_Boy53 / 29 октября 2018
0 голосов
1 ответ

Я хочу проверить, является ли сигнал «a» высоким до тех пор, пока не будет подтвержден сигнал «b»....

cryptoKay / 23 октября 2018
0 голосов
2 ответов

Можно ли отрываться от всегда блока в Verilog?У меня большой блок, и он будет более читабельным с...

random / 20 октября 2018
0 голосов
2 ответов

Я новичок в verilog, и я читал несколько кодов онлайн.Я наткнулся на следующую строку кода и не...

M.X / 18 октября 2018
0 голосов
1 ответ

Я пытаюсь проверить дизайн, написанный на VHDL, используя утверждения SystemVerilog.однако у меня...

mariam / 16 октября 2018
0 голосов
1 ответ

Я новичок в Verilog. Мне нужно понять логику тестового примера, но я испытываю затруднения из-за...

somerandomguy / 13 октября 2018
0 голосов
1 ответ

У меня продолжает возникать эта проблема, когда я пытаюсь назначить выходные значения в всегда...

Jeffrey Tsaw / 09 октября 2018
0 голосов
1 ответ

Я новичок в SVA.У меня есть вопрос о причастности SVA. 1: sequence s1; 2: start ##[1:$] !start; 3:...

ChipDesigner / 08 октября 2018
0 голосов
1 ответ

Я сделал базовый пример на игровой площадке eda, который получил.Допустим, у меня есть два часа 1x...

Viktorinox / 06 октября 2018
0 голосов
2 ответов

Я пытаюсь сгенерировать часы, которые (3/16) от системных часов.Итак, я решил сгенерировать 3x...

Timothy Grant / 27 сентября 2018
0 голосов
1 ответ

Я получаю ошибку в спектре, когда пытаюсь смоделировать .v код с макросом, определенным ниже:...

Vyankatesh Gupta / 18 сентября 2018
0 голосов
2 ответов

Как рассчитать максимальное количество итераций, выполненных за один тактовый цикл данной частоты в...

cryptoKay / 15 сентября 2018
0 голосов
1 ответ

Я хочу создать define constant that is assigned to one of multiple other определение констант с...

jkang / 15 сентября 2018
0 голосов
1 ответ

Я конвертирую свой дизайн из Verilog в SystemVerilog, и по умолчанию у меня есть директива...

maskarih / 04 сентября 2018
0 голосов
2 ответов

Я кодировал что-то вроде следующего: always @(state or i1 or i2 or i3 or i4) begin next =...

user3431800 / 01 сентября 2018
0 голосов
1 ответ

У меня есть классы, как показано ниже: class h1_c; rand bit[1:0] h1_a; rand bit[1:0] h1_b; h2_c h2;...

Wang Wayne / 29 августа 2018
0 голосов
1 ответ

Я пытаюсь смоделировать синтезированный (в D-триггер) файл физического регистра (PRF). Тестовый...

user3285014 / 28 августа 2018
0 голосов
2 ответов

У меня есть блок fork-join_none в цикле forever в SV, который имеет два потока.Один поток - это...

Rahama Tulla / 27 августа 2018
0 голосов
1 ответ

Я написал тестовый стенд для моего параметризованного проекта, в котором мне нужно рандомизировать...

maskarih / 06 июля 2018
0 голосов
1 ответ

Я хочу разработать модуль, который сдвигает 16-битный регистр n раз, где n динамически меняется...

maskarih / 04 июля 2018
0 голосов
1 ответ

Будут ли сигналы RHS в назначении внутри блока всегда добавляться в список чувствительности? если...

user3510047 / 02 июля 2018
0 голосов
3 ответов

У меня есть следующий фрагмент кода, где временная переменная используется для подсчета числа 1 с в...

newbie / 02 июля 2018
0 голосов
1 ответ

Мне нужно создать блок мультиплексора, который работает с входными выводами.Мой модуль имеет n...

Patrick / 25 июня 2018
0 голосов
1 ответ

У меня есть модуль Verilog с входом и выходом револьвера module Foo #( parameter DATA_BITS = 32,...

I. Aguilar / 04 июня 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...