Вопросы с тегом испытательный стенд - PullRequest

Вопросы с тегом испытательный стенд

0 голосов
1 ответ

Я пытался написать код симуляции системы Verilog. Однако, когда я попытался записать какое-то...

Julien / 08 июля 2019
0 голосов
2 ответов

Я работаю над проектом, использующим VHDL, и столкнулся с проблемой во время одного из моих...

Omar Hurani / 06 июля 2019
0 голосов
1 ответ
0 голосов
0 ответов

У меня проблема с приращением цикла в архитектуре, как я сказал нет в коде тестового стенда. Я...

weissvhdl / 08 июня 2019
0 голосов
1 ответ

Синтаксис был верным, я перепутал два цикла, не выдавая ничего правильного, когда ожидал...

dbirdi / 04 июня 2019
0 голосов
0 ответов

У меня есть базовый дизайн декодера Морзе, реализованный в VHDL.Он отлично работает на плате FPGA,...

ege-erdogan / 19 мая 2019
0 голосов
0 ответов

Мой компонент читает из оперативной памяти, выполняет некоторые вычисления и записывает обратно в...

LoSpazzino / 10 мая 2019
0 голосов
1 ответ

Я несколько новичок в VHDL и пытаюсь создать простой код для Flip Flop D. Мой код компилируется...

Ana / 09 мая 2019
0 голосов
0 ответов

Скажем, у меня есть этот фрагмент кода VHDL, присутствующий в архитектуре объекта p1 :...

vedant gala / 03 мая 2019
0 голосов
2 ответов

Эта программа должна иметь возможность выводить синусоидальную волну на испытательный стенд, где...

RytisBe / 27 апреля 2019
0 голосов
0 ответов

Я новичок в verilog и пытаюсь написать простую тестовую среду для FSM.Но я не могу изменить входы в...

happyv / 17 апреля 2019
0 голосов
1 ответ

Я пытаюсь протестировать функцию, которую я создал в C ++, используя testbench. Основными...

Harry Reid / 08 марта 2019
0 голосов
1 ответ

У меня есть VHDL-код с INs, OUTs и внутренними константами SIGNAL, такими как счетчики, которые я...

Tyler314 / 09 февраля 2019
0 голосов
0 ответов

Я пытаюсь следовать примеру из моей книги по VHDL. Его имя - прототипирование ПЛИС по примерам VHDL...

Çağlayan DÖKME / 18 января 2019
0 голосов
3 ответов

Я сейчас пытаюсь ввести бит в массив битов. Положение бита, который нужно «форсировать», зависит от...

hk56740 / 17 января 2019
0 голосов
1 ответ

Я создаю тестовый стенд для объекта высшего уровня. Он использует несколько компонентов, включая 2x...

Daidase / 08 января 2019
0 голосов
0 ответов

Может кто-нибудь сказать мне, что такое использование картографирования в Ассоциации стандартизации...

Muthu Selvam / 12 декабря 2018
0 голосов
0 ответов

У меня есть текстовый файл, представляющий значения АЦП в целочисленном формате из схемы, которая...

rooter / 10 декабря 2018
0 голосов
2 ответов

Я новичок в кодировании Verilog, и у меня есть проект колледжа по разработке простой системы лифтов

Maitha B / 07 декабря 2018
0 голосов
0 ответов

Это может быть глупый вопрос ... Я хочу создать демультиплексор с одним входом: a, байтом и двумя...

Worst / 21 ноября 2018
0 голосов
0 ответов

Я пробовал Vaadin TestBench как с Vaadin6, так и с Vaadin7.Я обнаружил, что «Инструмент записи...

Luca Magnotta / 13 ноября 2018
0 голосов
2 ответов

В чем преимущество использования testbench вместо файла ".do" в ModelSim? Файл .do позволяет мне...

Tal J / 12 ноября 2018
0 голосов
0 ответов

Я пытаюсь создать файл тестового стенда для имитации моего модуля добавления / подчинения и получил...

jsteve34 / 04 октября 2018
0 голосов
2 ответов

У меня есть файл с большим количеством целых чисел, он выглядит следующим образом: 123 254 360 700...

rooter / 06 сентября 2018
0 голосов
1 ответ

Я нашел эту ошибку в этом тестовом стенде для SR FF. Пока я компилирую его, используя GHDL в...

Shareefa Fairoose / 06 сентября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...