Вопросы с тегом испытательный стенд - PullRequest

Вопросы с тегом испытательный стенд

0 голосов
0 ответов

С увеличением размеров проектов и их тестовых стендов, если команда разработчиков вносит какие-либо...

vedant gala / 04 сентября 2018
0 голосов
1 ответ

Я пытаюсь смоделировать синтезированный (в D-триггер) файл физического регистра (PRF). Тестовый...

user3285014 / 28 августа 2018
0 голосов
3 ответов

Предупреждение: это будет долго. Извините, если это слишком многословно. Я только начинаю изучать...

DiBosco / 04 июля 2018
0 голосов
1 ответ

Теперь я пишу VHDL, чтобы сделать модуль таймера с одним выстрелом.Но я не знаю, какой код...

Thanh niên Yên Bái / 10 июня 2018
0 голосов
1 ответ

В тестовом стенде у меня есть проблема с процедурой, которую я хочу контролировать своим входным...

AMIR Mohammed Rida / 24 мая 2018
0 голосов
1 ответ

Я пытаюсь написать код, который моделирует входной регистр с 8 битами, который выводит сумму...

user367640 / 19 мая 2018
0 голосов
0 ответов

Коллега и я работаем над проектом курса для вычисления GCD (большего общего делителя) из N чисел,...

Dee / 18 мая 2018
0 голосов
1 ответ

Я новичок в программировании SystemVerilog. У меня есть файл с именем «input.in», и он содержит...

Shravan Shah / 30 апреля 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...