Вопросы с тегом ghdl - PullRequest

Вопросы с тегом ghdl

0 голосов
0 ответов
1 голос
1 ответ
0 голосов
0 ответов
2 голосов
1 ответ

У меня есть проект, в котором я использую модуль IP, сгенерированный Lattice Diamond. Это...

kayakist / 02 июля 2019
1 голос
3 ответов

Я написал несколько RTL vivado, а затем добавил некоторые атрибуты vhdl к портам сущности, чтобы...

pico / 04 июня 2019
0 голосов
1 ответ

У меня есть signal dataIn : std_logic_vector ( 15 downto 0); Я хочу дать вход менее 16 бит,...

B. George / 19 мая 2019
0 голосов
1 ответ

Мне было любопытно, кому-нибудь повезло собрать всю библиотеку Xilinx ISE unisims для симулятора...

Bill Moore / 10 мая 2019
1 голос
0 ответов

Есть идеи, что вызывает эту ошибку при компиляции с ghdl vhdl simulator? $ ghdl -a /common_pkg.vhd

Bill Moore / 09 мая 2019
0 голосов
0 ответов

Странная ошибка при запуске тестового стенда, я никогда раньше такого не видел. Я пытаюсь...

Mike Rawding / 03 мая 2019
2 голосов
2 ответов

Verilog позволяет определять ветви оператора case как константу в другом файле.Пример: `define...

pico / 02 мая 2019
0 голосов
1 ответ

Похоже, что ни на одном из форумов, который я мог найти, не спрашивали, и я не мог найти, как это...

T0eJam / 09 апреля 2019
0 голосов
1 ответ

Я пытаюсь скомпилировать этот код, используя GHDL, и получаю ошибку: вместо 'not' ожидается '=>'.Я...

renshencha / 17 марта 2019
0 голосов
0 ответов

Я только что взял Руководство дизайнера по VHDL, и я прорабатываю упражнения в первой главе.Я...

Greg McWilliams / 27 ноября 2018
0 голосов
2 ответов
0 голосов
1 ответ

Когда я компилирую этот код, используя ghdl, он выдает ошибки. library ieee; use ieee

Shareefa Fairoose / 10 сентября 2018
0 голосов
1 ответ

library ieee; use ieee. std_logic_1164.all; entity JKFF is PORT( j,k,clock: in std_logic; q,qbar:...

Shareefa Fairoose / 08 сентября 2018
0 голосов
1 ответ

Я нашел эту ошибку в этом тестовом стенде для SR FF. Пока я компилирую его, используя GHDL в...

Shareefa Fairoose / 06 сентября 2018
0 голосов
0 ответов

Я использую ghdl (компилятор с открытым исходным кодом для VHDL) на Mac.Я пишу этот простой...

alireza bakhtiari / 30 мая 2018
0 голосов
1 ответ

Я компилирую GHDL на моей машине с помощью: AdaCore GNAT GPL 2017 Это автономный компилятор Ada для...

Paebbels / 01 мая 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...