библиотеки vhld 2008 отсутствуют при установке ghdl - PullRequest
0 голосов
/ 14 апреля 2020

sudo apt-get install ghdl

, кажется, устанавливает все правильно, но нет библиотек VHDL2008. Как я могу добавить это?

/usr/bin/ghdl -i   --std=08 --work=work /home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl && \
/usr/bin/ghdl -m   --std=08 --work=work endian_swapper_vhdl
/usr/bin/ghdl-gcc:warning: library ieee does not exists for v08
/usr/bin/ghdl-gcc:warning: library ieee does not exists for v08
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:42:9:error: cannot find resource library "ieee"
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:44:10:error: unit "std_logic_1164" not found in library "ieee"
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:45:10:error: unit "numeric_std" not found in library "ieee"

~

1 Ответ

0 голосов
/ 28 апреля 2020

Кажется, что пакет GHDL, который вы установили, был собран с "openieee", а не с библиотеками из IEEE. Это из-за проблем с лицензированием / распространением. Вам необходимо установить «обычную» сборку GHDL или загрузить и собрать / установить библиотеки из https://github.com/ghdl/ghdl/tree/master/libraries.

Согласно https://github.com/ghdl/ghdl/issues/1255#issuecomment -619308878 в будущем библиотеки IEEE с открытым исходным кодом для VHDL 2008 будут распространяться с "openieee" сборками GHDL.

...